Project

General

Profile

Cannot build hardware for sd_demo

Added by Johan Henning about 1 year ago

No block design is generated after running the .tcl script.

Log file:

current_project project_1
cd C:/AimaginProjects/Zybo/Hardware_Z7000/sd_demo
source sd_demo.tcl
  1. proc checkRequiredFiles { origin_dir} {
  2. set status true
  3. set files [list \
  4. "C:/Aimagin/zynq7000_xilinx/Other-Board_Files/zyboz7_project/vivado/My_Zybo-Z7-Master.xdc" \
  5. ]
  6. foreach ifile $files {
  7. if { ![file isfile $ifile] } {
  8. puts " Could not find remote file $ifile "
  9. set status false
  10. }
  11. }
  12. return $status
  13. }
  14. set origin_dir "."
  15. if { [info exists ::origin_dir_loc] } {
  16. set origin_dir $::origin_dir_loc
  17. }
  18. set xil_proj_name "project_1"
  19. if { [info exists ::user_project_name] } {
  20. set xil_proj_name $::user_project_name
  21. }
  22. variable script_file
  23. set script_file "sd_demo.tcl"
  24. proc print_help {} {
  25. variable script_file
  26. puts "\nDescription:"
  27. puts "Recreate a Vivado project from this script. The created project will be"
  28. puts "functionally equivalent to the original project for which this script was"
  29. puts "generated. The script contains commands for creating a project, filesets,"
  30. puts "runs, adding/importing sources and setting properties on various objects.\n"
  31. puts "Syntax:"
  32. puts "$script_file"
  33. puts "$script_file -tclargs \[--origin_dir <path>\]"
  34. puts "$script_file -tclargs \[--project_name <name>\]"
  35. puts "$script_file -tclargs \[--help\]\n"
  36. puts "Usage:"
  37. puts "Name Description"
  38. puts "-------------------------------------------------------------------------"
  39. puts "\[--origin_dir <path>\] Determine source file paths wrt this path. Default"
  40. puts " origin_dir path value is \".\", otherwise, the value"
  41. puts " that was set with the \"-paths_relative_to\" switch"
  42. puts " when this script was generated.\n"
  43. puts "\[--project_name <name>\] Create project with the specified name. Default"
  44. puts " name is the name of the project from where this"
  45. puts " script was generated.\n"
  46. puts "\[--help\] Print help information for this script"
  47. puts "-------------------------------------------------------------------------\n"
  48. exit 0
  49. }
  50. if { $::argc > 0 } {
  51. for {set i 0} {$i < $::argc} {incr i} {
  52. set option [string trim [lindex $::argv $i]]
  53. switch regexp - $option {
  54. "--origin_dir" { incr i; set origin_dir [lindex $::argv $i] }
  55. "--project_name" { incr i; set xil_proj_name [lindex $::argv $i] }
  56. "--help" { print_help }
  57. default {
  58. if { [regexp {^-} $option] } {
  59. puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n"
  60. return 1
  61. }
  62. }
  63. }
  64. }
  65. }
  66. set orig_proj_dir "[file normalize "$origin_dir/project_1"]"
  67. set validate_required 0
  68. if { $validate_required } {
  69. if { [checkRequiredFiles $origin_dir] } {
  70. puts "Tcl file $script_file is valid. All files required for project creation is accesable. "
  71. } else {
  72. puts "Tcl file $script_file is not valid. Not all files required for project creation is accesable. "
  73. return
  74. }
  75. }
  76. create_project ${_xil_proj_name_} ./${_xil_proj_name_} -part xc7z020clg400-1
    INFO: [IP_Flow 19-234] Refreshing IP repositories
    INFO: [IP_Flow 19-1704] No user IP repositories specified
    INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2020.2/data/ip'.
  77. set proj_dir [get_property directory [current_project]]
  78. set obj [current_project]
  79. set_property -name "board_part_repo_paths" -value "[file normalize "$origin_dir/../../../../../../Users/admin/AppData/Roaming/Xilinx/Vivado/2020.2/xhub/board_store/xilinx_board_store"]" -objects $obj
    WARNING: [Vivado 12-9135] Ignoring repo path (C:/Users/admin/AppData/Roaming/Xilinx/Vivado/2020.2/xhub/board_store/xilinx_board_store) because it contains no board files
  80. set_property -name "board_part" -value "digilentinc.com:zybo-z7-20:part0:1.0" -objects $obj
  81. set_property -name "default_lib" -value "xil_defaultlib" -objects $obj
  82. set_property -name "enable_vhdl_2008" -value "1" -objects $obj
  83. set_property -name "ip_cache_permissions" -value "read write" -objects $obj
  84. set_property -name "ip_output_repo" -value "$proj_dir/${_xil_proj_name_}.cache/ip" -objects $obj
  85. set_property -name "mem.enable_memory_map_generation" -value "1" -objects $obj
  86. set_property -name "platform.board_id" -value "zybo-z7-20" -objects $obj
  87. set_property -name "sim.central_dir" -value "$proj_dir/${_xil_proj_name_}.ip_user_files" -objects $obj
  88. set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj
  89. set_property -name "simulator_language" -value "Mixed" -objects $obj
  90. set_property -name "target_language" -value "VHDL" -objects $obj
  91. if {[string equal [get_filesets -quiet sources_1] ""]} {
  92. create_fileset -srcset sources_1
  93. }
  94. set obj [get_filesets sources_1]
  95. set obj [get_filesets sources_1]
  96. set_property -name "top" -value "design_1_wrapper" -objects $obj
  97. if {[string equal [get_filesets -quiet constrs_1] ""]} {
  98. create_fileset -constrset constrs_1
  99. }
  100. set obj [get_filesets constrs_1]
  101. set file "[file normalize "$origin_dir/../My_Zybo-Z7-Master.xdc"]"
  102. set file_added [add_files -norecurse -fileset $obj [list $file]]
  103. set file "$origin_dir/../My_Zybo-Z7-Master.xdc"
  104. set file [file normalize $file]
  105. set file_obj [get_files -of_objects [get_filesets constrs_1] [list "*$file"]]
  106. set_property -name "file_type" -value "XDC" -objects $file_obj
  107. set obj [get_filesets constrs_1]
  108. if {[string equal [get_filesets -quiet sim_1] ""]} {
  109. create_fileset -simset sim_1
  110. }
  111. set obj [get_filesets sim_1]
  112. set obj [get_filesets sim_1]
  113. set_property -name "hbs.configure_design_for_hier_access" -value "1" -objects $obj
  114. set_property -name "top" -value "design_1_wrapper" -objects $obj
  115. set_property -name "top_lib" -value "xil_defaultlib" -objects $obj
  116. set obj [get_filesets utils_1]
  117. set obj [get_filesets utils_1]
  118. proc cr_bd_design_1 { parentCell } {
  119. # CHANGE DESIGN NAME HERE
  120. set design_name design_1
  121. common::send_gid_msg -ssname BD::TCL -id 2010 -severity "INFO" "Currently there is no design <$design_name> in project, so creating one..."
  122. create_bd_design $design_name
  123. set bCheckIPsPassed 1
  124. ##################################################################
  125. # CHECK IPs
  126. ##################################################################
  127. set bCheckIPs 1
  128. if { $bCheckIPs == 1 } {
  129. set list_check_ips "\
  130. xilinx.com:ip:processing_system7:5.5\
  131. "
  132. set list_ips_missing ""
  133. common::send_gid_msg -ssname BD::TCL -id 2011 -severity "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ."
  134. foreach ip_vlnv $list_check_ips {
  135. set ip_obj [get_ipdefs -all $ip_vlnv]
  136. if { $ip_obj eq "" } {
  137. lappend list_ips_missing $ip_vlnv
  138. }
  139. }
  140. if { $list_ips_missing ne "" } {
  141. catch {common::send_gid_msg -ssname BD::TCL -id 2012 -severity "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP to the project." }
  142. set bCheckIPsPassed 0
  143. }
  144. }
  145. if { $bCheckIPsPassed != 1 } {
  146. common::send_gid_msg -ssname BD::TCL -id 2023 -severity "WARNING" "Will not continue with creation of design due to the error(s) above."
  147. return 3
  148. }
  149. variable script_folder
  150. if { $parentCell eq "" } {
  151. set parentCell [get_bd_cells /]
  152. }
  153. # Get object for parentCell
  154. set parentObj [get_bd_cells $parentCell]
  155. if { $parentObj == "" } {
  156. catch {common::send_gid_msg -ssname BD::TCL -id 2090 -severity "ERROR" "Unable to find parent cell <$parentCell>!"}
  157. return
  158. }
  159. # Make sure parentObj is hier blk
  160. set parentType [get_property TYPE $parentObj]
  161. if { $parentType ne "hier" } {
  162. catch {common::send_gid_msg -ssname BD::TCL -id 2091 -severity "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
  163. return
  164. }
  165. # Save current instance; Restore later
  166. set oldCurInst [current_bd_instance .]
  167. # Set parent object as current
  168. current_bd_instance $parentObj
  169. # Create interface ports
  170. set DDR [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:ddrx_rtl:1.0 DDR ]
  171. set FIXED_IO [ create_bd_intf_port -mode Master -vlnv xilinx.com:display_processing_system7:fixedio_rtl:1.0 FIXED_IO ]
  172. set GPIO_0_0 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:gpio_rtl:1.0 GPIO_0_0 ]
  173. # Create ports
  174. # Create instance: processing_system7_0, and set properties
  175. set processing_system7_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:processing_system7:5.5 processing_system7_0 ]
  176. set_property -dict [ list \
  177. CONFIG.PCW_ACT_APU_PERIPHERAL_FREQMHZ {666.666687} \
  178. CONFIG.PCW_ACT_CAN_PERIPHERAL_FREQMHZ {10.000000} \
  179. CONFIG.PCW_ACT_DCI_PERIPHERAL_FREQMHZ {10.158730} \
  180. CONFIG.PCW_ACT_ENET0_PERIPHERAL_FREQMHZ {10.000000} \
  181. CONFIG.PCW_ACT_ENET1_PERIPHERAL_FREQMHZ {10.000000} \
  182. CONFIG.PCW_ACT_FPGA0_PERIPHERAL_FREQMHZ {50.000000} \
  183. CONFIG.PCW_ACT_FPGA1_PERIPHERAL_FREQMHZ {10.000000} \
  184. CONFIG.PCW_ACT_FPGA2_PERIPHERAL_FREQMHZ {10.000000} \
  185. CONFIG.PCW_ACT_FPGA3_PERIPHERAL_FREQMHZ {10.000000} \
  186. CONFIG.PCW_ACT_PCAP_PERIPHERAL_FREQMHZ {200.000000} \
  187. CONFIG.PCW_ACT_QSPI_PERIPHERAL_FREQMHZ {200.000000} \
  188. CONFIG.PCW_ACT_SDIO_PERIPHERAL_FREQMHZ {50.000000} \
  189. CONFIG.PCW_ACT_SMC_PERIPHERAL_FREQMHZ {10.000000} \
  190. CONFIG.PCW_ACT_SPI_PERIPHERAL_FREQMHZ {10.000000} \
  191. CONFIG.PCW_ACT_TPIU_PERIPHERAL_FREQMHZ {200.000000} \
  192. CONFIG.PCW_ACT_TTC0_CLK0_PERIPHERAL_FREQMHZ {111.111115} \
  193. CONFIG.PCW_ACT_TTC0_CLK1_PERIPHERAL_FREQMHZ {111.111115} \
  194. CONFIG.PCW_ACT_TTC0_CLK2_PERIPHERAL_FREQMHZ {111.111115} \
  195. CONFIG.PCW_ACT_TTC1_CLK0_PERIPHERAL_FREQMHZ {111.111115} \
  196. CONFIG.PCW_ACT_TTC1_CLK1_PERIPHERAL_FREQMHZ {111.111115} \
  197. CONFIG.PCW_ACT_TTC1_CLK2_PERIPHERAL_FREQMHZ {111.111115} \
  198. CONFIG.PCW_ACT_UART_PERIPHERAL_FREQMHZ {100.000000} \
  199. CONFIG.PCW_ACT_WDT_PERIPHERAL_FREQMHZ {111.111115} \
  200. CONFIG.PCW_APU_CLK_RATIO_ENABLE {6:2:1} \
  201. CONFIG.PCW_APU_PERIPHERAL_FREQMHZ {667} \
  202. CONFIG.PCW_ARMPLL_CTRL_FBDIV {40} \
  203. CONFIG.PCW_CAN_PERIPHERAL_DIVISOR0 {1} \
  204. CONFIG.PCW_CAN_PERIPHERAL_DIVISOR1 {1} \
  205. CONFIG.PCW_CLK0_FREQ {50000000} \
  206. CONFIG.PCW_CLK1_FREQ {10000000} \
  207. CONFIG.PCW_CLK2_FREQ {10000000} \
  208. CONFIG.PCW_CLK3_FREQ {10000000} \
  209. CONFIG.PCW_CPU_CPU_6X4X_MAX_RANGE {667} \
  210. CONFIG.PCW_CPU_CPU_PLL_FREQMHZ {1333.333} \
  211. CONFIG.PCW_CPU_PERIPHERAL_CLKSRC {ARM PLL} \
  212. CONFIG.PCW_CPU_PERIPHERAL_DIVISOR0 {2} \
  213. CONFIG.PCW_CRYSTAL_PERIPHERAL_FREQMHZ {33.333333} \
  214. CONFIG.PCW_DCI_PERIPHERAL_CLKSRC {DDR PLL} \
  215. CONFIG.PCW_DCI_PERIPHERAL_DIVISOR0 {15} \
  216. CONFIG.PCW_DCI_PERIPHERAL_DIVISOR1 {7} \
  217. CONFIG.PCW_DCI_PERIPHERAL_FREQMHZ {10.159} \
  218. CONFIG.PCW_DDRPLL_CTRL_FBDIV {32} \
  219. CONFIG.PCW_DDR_DDR_PLL_FREQMHZ {1066.667} \
  220. CONFIG.PCW_DDR_HPRLPR_QUEUE_PARTITION {HPR/LPR} \
  221. CONFIG.PCW_DDR_HPR_TO_CRITICAL_PRIORITY_LEVEL {15} \
  222. CONFIG.PCW_DDR_LPR_TO_CRITICAL_PRIORITY_LEVEL {2} \
  223. CONFIG.PCW_DDR_PERIPHERAL_CLKSRC {DDR PLL} \
  224. CONFIG.PCW_DDR_PERIPHERAL_DIVISOR0 {2} \
  225. CONFIG.PCW_DDR_PORT0_HPR_ENABLE {0} \
  226. CONFIG.PCW_DDR_PORT1_HPR_ENABLE {0} \
  227. CONFIG.PCW_DDR_PORT2_HPR_ENABLE {0} \
  228. CONFIG.PCW_DDR_PORT3_HPR_ENABLE {0} \
  229. CONFIG.PCW_DDR_RAM_HIGHADDR {0x3FFFFFFF} \
  230. CONFIG.PCW_DDR_WRITE_TO_CRITICAL_PRIORITY_LEVEL {2} \
  231. CONFIG.PCW_ENET0_ENET0_IO {<Select>} \
  232. CONFIG.PCW_ENET0_GRP_MDIO_ENABLE {0} \
  233. CONFIG.PCW_ENET0_GRP_MDIO_IO {<Select>} \
  234. CONFIG.PCW_ENET0_PERIPHERAL_CLKSRC {IO PLL} \
  235. CONFIG.PCW_ENET0_PERIPHERAL_DIVISOR0 {1} \
  236. CONFIG.PCW_ENET0_PERIPHERAL_DIVISOR1 {1} \
  237. CONFIG.PCW_ENET0_PERIPHERAL_ENABLE {0} \
  238. CONFIG.PCW_ENET0_PERIPHERAL_FREQMHZ {1000 Mbps} \
  239. CONFIG.PCW_ENET0_RESET_ENABLE {0} \
  240. CONFIG.PCW_ENET1_GRP_MDIO_ENABLE {0} \
  241. CONFIG.PCW_ENET1_PERIPHERAL_CLKSRC {IO PLL} \
  242. CONFIG.PCW_ENET1_PERIPHERAL_DIVISOR0 {1} \
  243. CONFIG.PCW_ENET1_PERIPHERAL_DIVISOR1 {1} \
  244. CONFIG.PCW_ENET1_PERIPHERAL_ENABLE {0} \
  245. CONFIG.PCW_ENET1_PERIPHERAL_FREQMHZ {1000 Mbps} \
  246. CONFIG.PCW_ENET1_RESET_ENABLE {0} \
  247. CONFIG.PCW_ENET_RESET_ENABLE {1} \
  248. CONFIG.PCW_ENET_RESET_POLARITY {Active Low} \
  249. CONFIG.PCW_ENET_RESET_SELECT {<Select>} \
  250. CONFIG.PCW_EN_4K_TIMER {0} \
  251. CONFIG.PCW_EN_EMIO_GPIO {1} \
  252. CONFIG.PCW_EN_ENET0 {0} \
  253. CONFIG.PCW_EN_GPIO {1} \
  254. CONFIG.PCW_EN_QSPI {1} \
  255. CONFIG.PCW_EN_SDIO0 {1} \
  256. CONFIG.PCW_EN_UART1 {1} \
  257. CONFIG.PCW_EN_USB0 {0} \
  258. CONFIG.PCW_FCLK0_PERIPHERAL_DIVISOR0 {8} \
  259. CONFIG.PCW_FCLK0_PERIPHERAL_DIVISOR1 {4} \
  260. CONFIG.PCW_FCLK1_PERIPHERAL_DIVISOR0 {1} \
  261. CONFIG.PCW_FCLK1_PERIPHERAL_DIVISOR1 {1} \
  262. CONFIG.PCW_FCLK2_PERIPHERAL_DIVISOR0 {1} \
  263. CONFIG.PCW_FCLK2_PERIPHERAL_DIVISOR1 {1} \
  264. CONFIG.PCW_FCLK3_PERIPHERAL_DIVISOR0 {1} \
  265. CONFIG.PCW_FCLK3_PERIPHERAL_DIVISOR1 {1} \
  266. CONFIG.PCW_FPGA_FCLK0_ENABLE {1} \
  267. CONFIG.PCW_FPGA_FCLK1_ENABLE {0} \
  268. CONFIG.PCW_FPGA_FCLK2_ENABLE {0} \
  269. CONFIG.PCW_FPGA_FCLK3_ENABLE {0} \
  270. CONFIG.PCW_GPIO_EMIO_GPIO_ENABLE {1} \
  271. CONFIG.PCW_GPIO_EMIO_GPIO_IO {18} \
  272. CONFIG.PCW_GPIO_EMIO_GPIO_WIDTH {18} \
  273. CONFIG.PCW_GPIO_MIO_GPIO_ENABLE {1} \
  274. CONFIG.PCW_GPIO_MIO_GPIO_IO {MIO} \
  275. CONFIG.PCW_GPIO_PERIPHERAL_ENABLE {0} \
  276. CONFIG.PCW_I2C0_RESET_ENABLE {0} \
  277. CONFIG.PCW_I2C1_RESET_ENABLE {0} \
  278. CONFIG.PCW_I2C_PERIPHERAL_FREQMHZ {25} \
  279. CONFIG.PCW_I2C_RESET_ENABLE {0} \
  280. CONFIG.PCW_IOPLL_CTRL_FBDIV {48} \
  281. CONFIG.PCW_IO_IO_PLL_FREQMHZ {1600.000} \
  282. CONFIG.PCW_IRQ_F2P_MODE {DIRECT} \
  283. CONFIG.PCW_MIO_0_DIRECTION {inout} \
  284. CONFIG.PCW_MIO_0_IOTYPE {LVCMOS 3.3V} \
  285. CONFIG.PCW_MIO_0_PULLUP {enabled} \
  286. CONFIG.PCW_MIO_0_SLEW {slow} \
  287. CONFIG.PCW_MIO_10_DIRECTION {inout} \
  288. CONFIG.PCW_MIO_10_IOTYPE {LVCMOS 3.3V} \
  289. CONFIG.PCW_MIO_10_PULLUP {enabled} \
  290. CONFIG.PCW_MIO_10_SLEW {slow} \
  291. CONFIG.PCW_MIO_11_DIRECTION {inout} \
  292. CONFIG.PCW_MIO_11_IOTYPE {LVCMOS 3.3V} \
  293. CONFIG.PCW_MIO_11_PULLUP {enabled} \
  294. CONFIG.PCW_MIO_11_SLEW {slow} \
  295. CONFIG.PCW_MIO_12_DIRECTION {inout} \
  296. CONFIG.PCW_MIO_12_IOTYPE {LVCMOS 3.3V} \
  297. CONFIG.PCW_MIO_12_PULLUP {enabled} \
  298. CONFIG.PCW_MIO_12_SLEW {slow} \
  299. CONFIG.PCW_MIO_13_DIRECTION {inout} \
  300. CONFIG.PCW_MIO_13_IOTYPE {LVCMOS 3.3V} \
  301. CONFIG.PCW_MIO_13_PULLUP {enabled} \
  302. CONFIG.PCW_MIO_13_SLEW {slow} \
  303. CONFIG.PCW_MIO_14_DIRECTION {inout} \
  304. CONFIG.PCW_MIO_14_IOTYPE {LVCMOS 3.3V} \
  305. CONFIG.PCW_MIO_14_PULLUP {enabled} \
  306. CONFIG.PCW_MIO_14_SLEW {slow} \
  307. CONFIG.PCW_MIO_15_DIRECTION {inout} \
  308. CONFIG.PCW_MIO_15_IOTYPE {LVCMOS 3.3V} \
  309. CONFIG.PCW_MIO_15_PULLUP {enabled} \
  310. CONFIG.PCW_MIO_15_SLEW {slow} \
  311. CONFIG.PCW_MIO_16_DIRECTION {out} \
  312. CONFIG.PCW_MIO_16_IOTYPE {LVCMOS 1.8V} \
  313. CONFIG.PCW_MIO_16_PULLUP {enabled} \
  314. CONFIG.PCW_MIO_16_SLEW {fast} \
  315. CONFIG.PCW_MIO_17_DIRECTION {out} \
  316. CONFIG.PCW_MIO_17_IOTYPE {LVCMOS 1.8V} \
  317. CONFIG.PCW_MIO_17_PULLUP {enabled} \
  318. CONFIG.PCW_MIO_17_SLEW {fast} \
  319. CONFIG.PCW_MIO_18_DIRECTION {out} \
  320. CONFIG.PCW_MIO_18_IOTYPE {LVCMOS 1.8V} \
  321. CONFIG.PCW_MIO_18_PULLUP {enabled} \
  322. CONFIG.PCW_MIO_18_SLEW {fast} \
  323. CONFIG.PCW_MIO_19_DIRECTION {out} \
  324. CONFIG.PCW_MIO_19_IOTYPE {LVCMOS 1.8V} \
  325. CONFIG.PCW_MIO_19_PULLUP {enabled} \
  326. CONFIG.PCW_MIO_19_SLEW {fast} \
  327. CONFIG.PCW_MIO_1_DIRECTION {out} \
  328. CONFIG.PCW_MIO_1_IOTYPE {LVCMOS 3.3V} \
  329. CONFIG.PCW_MIO_1_PULLUP {enabled} \
  330. CONFIG.PCW_MIO_1_SLEW {slow} \
  331. CONFIG.PCW_MIO_20_DIRECTION {out} \
  332. CONFIG.PCW_MIO_20_IOTYPE {LVCMOS 1.8V} \
  333. CONFIG.PCW_MIO_20_PULLUP {enabled} \
  334. CONFIG.PCW_MIO_20_SLEW {fast} \
  335. CONFIG.PCW_MIO_21_DIRECTION {out} \
  336. CONFIG.PCW_MIO_21_IOTYPE {LVCMOS 1.8V} \
  337. CONFIG.PCW_MIO_21_PULLUP {enabled} \
  338. CONFIG.PCW_MIO_21_SLEW {fast} \
  339. CONFIG.PCW_MIO_22_DIRECTION {in} \
  340. CONFIG.PCW_MIO_22_IOTYPE {LVCMOS 1.8V} \
  341. CONFIG.PCW_MIO_22_PULLUP {enabled} \
  342. CONFIG.PCW_MIO_22_SLEW {fast} \
  343. CONFIG.PCW_MIO_23_DIRECTION {in} \
  344. CONFIG.PCW_MIO_23_IOTYPE {LVCMOS 1.8V} \
  345. CONFIG.PCW_MIO_23_PULLUP {enabled} \
  346. CONFIG.PCW_MIO_23_SLEW {fast} \
  347. CONFIG.PCW_MIO_24_DIRECTION {in} \
  348. CONFIG.PCW_MIO_24_IOTYPE {LVCMOS 1.8V} \
  349. CONFIG.PCW_MIO_24_PULLUP {enabled} \
  350. CONFIG.PCW_MIO_24_SLEW {fast} \
  351. CONFIG.PCW_MIO_25_DIRECTION {in} \
  352. CONFIG.PCW_MIO_25_IOTYPE {LVCMOS 1.8V} \
  353. CONFIG.PCW_MIO_25_PULLUP {enabled} \
  354. CONFIG.PCW_MIO_25_SLEW {fast} \
  355. CONFIG.PCW_MIO_26_DIRECTION {in} \
  356. CONFIG.PCW_MIO_26_IOTYPE {LVCMOS 1.8V} \
  357. CONFIG.PCW_MIO_26_PULLUP {enabled} \
  358. CONFIG.PCW_MIO_26_SLEW {fast} \
  359. CONFIG.PCW_MIO_27_DIRECTION {in} \
  360. CONFIG.PCW_MIO_27_IOTYPE {LVCMOS 1.8V} \
  361. CONFIG.PCW_MIO_27_PULLUP {enabled} \
  362. CONFIG.PCW_MIO_27_SLEW {fast} \
  363. CONFIG.PCW_MIO_28_DIRECTION {inout} \
  364. CONFIG.PCW_MIO_28_IOTYPE {LVCMOS 1.8V} \
  365. CONFIG.PCW_MIO_28_PULLUP {enabled} \
  366. CONFIG.PCW_MIO_28_SLEW {fast} \
  367. CONFIG.PCW_MIO_29_DIRECTION {inout} \
  368. CONFIG.PCW_MIO_29_IOTYPE {LVCMOS 1.8V} \
  369. CONFIG.PCW_MIO_29_PULLUP {enabled} \
  370. CONFIG.PCW_MIO_29_SLEW {fast} \
  371. CONFIG.PCW_MIO_2_DIRECTION {inout} \
  372. CONFIG.PCW_MIO_2_IOTYPE {LVCMOS 3.3V} \
  373. CONFIG.PCW_MIO_2_PULLUP {disabled} \
  374. CONFIG.PCW_MIO_2_SLEW {slow} \
  375. CONFIG.PCW_MIO_30_DIRECTION {inout} \
  376. CONFIG.PCW_MIO_30_IOTYPE {LVCMOS 1.8V} \
  377. CONFIG.PCW_MIO_30_PULLUP {enabled} \
  378. CONFIG.PCW_MIO_30_SLEW {fast} \
  379. CONFIG.PCW_MIO_31_DIRECTION {inout} \
  380. CONFIG.PCW_MIO_31_IOTYPE {LVCMOS 1.8V} \
  381. CONFIG.PCW_MIO_31_PULLUP {enabled} \
  382. CONFIG.PCW_MIO_31_SLEW {fast} \
  383. CONFIG.PCW_MIO_32_DIRECTION {inout} \
  384. CONFIG.PCW_MIO_32_IOTYPE {LVCMOS 1.8V} \
  385. CONFIG.PCW_MIO_32_PULLUP {enabled} \
  386. CONFIG.PCW_MIO_32_SLEW {fast} \
  387. CONFIG.PCW_MIO_33_DIRECTION {inout} \
  388. CONFIG.PCW_MIO_33_IOTYPE {LVCMOS 1.8V} \
  389. CONFIG.PCW_MIO_33_PULLUP {enabled} \
  390. CONFIG.PCW_MIO_33_SLEW {fast} \
  391. CONFIG.PCW_MIO_34_DIRECTION {inout} \
  392. CONFIG.PCW_MIO_34_IOTYPE {LVCMOS 1.8V} \
  393. CONFIG.PCW_MIO_34_PULLUP {enabled} \
  394. CONFIG.PCW_MIO_34_SLEW {fast} \
  395. CONFIG.PCW_MIO_35_DIRECTION {inout} \
  396. CONFIG.PCW_MIO_35_IOTYPE {LVCMOS 1.8V} \
  397. CONFIG.PCW_MIO_35_PULLUP {enabled} \
  398. CONFIG.PCW_MIO_35_SLEW {fast} \
  399. CONFIG.PCW_MIO_36_DIRECTION {inout} \
  400. CONFIG.PCW_MIO_36_IOTYPE {LVCMOS 1.8V} \
  401. CONFIG.PCW_MIO_36_PULLUP {enabled} \
  402. CONFIG.PCW_MIO_36_SLEW {fast} \
  403. CONFIG.PCW_MIO_37_DIRECTION {inout} \
  404. CONFIG.PCW_MIO_37_IOTYPE {LVCMOS 1.8V} \
  405. CONFIG.PCW_MIO_37_PULLUP {enabled} \
  406. CONFIG.PCW_MIO_37_SLEW {fast} \
  407. CONFIG.PCW_MIO_38_DIRECTION {inout} \
  408. CONFIG.PCW_MIO_38_IOTYPE {LVCMOS 1.8V} \
  409. CONFIG.PCW_MIO_38_PULLUP {enabled} \
  410. CONFIG.PCW_MIO_38_SLEW {fast} \
  411. CONFIG.PCW_MIO_39_DIRECTION {inout} \
  412. CONFIG.PCW_MIO_39_IOTYPE {LVCMOS 1.8V} \
  413. CONFIG.PCW_MIO_39_PULLUP {enabled} \
  414. CONFIG.PCW_MIO_39_SLEW {fast} \
  415. CONFIG.PCW_MIO_3_DIRECTION {inout} \
  416. CONFIG.PCW_MIO_3_IOTYPE {LVCMOS 3.3V} \
  417. CONFIG.PCW_MIO_3_PULLUP {disabled} \
  418. CONFIG.PCW_MIO_3_SLEW {slow} \
  419. CONFIG.PCW_MIO_40_DIRECTION {inout} \
  420. CONFIG.PCW_MIO_40_IOTYPE {LVCMOS 1.8V} \
  421. CONFIG.PCW_MIO_40_PULLUP {enabled} \
  422. CONFIG.PCW_MIO_40_SLEW {slow} \
  423. CONFIG.PCW_MIO_41_DIRECTION {inout} \
  424. CONFIG.PCW_MIO_41_IOTYPE {LVCMOS 1.8V} \
  425. CONFIG.PCW_MIO_41_PULLUP {enabled} \
  426. CONFIG.PCW_MIO_41_SLEW {slow} \
  427. CONFIG.PCW_MIO_42_DIRECTION {inout} \
  428. CONFIG.PCW_MIO_42_IOTYPE {LVCMOS 1.8V} \
  429. CONFIG.PCW_MIO_42_PULLUP {enabled} \
  430. CONFIG.PCW_MIO_42_SLEW {slow} \
  431. CONFIG.PCW_MIO_43_DIRECTION {inout} \
  432. CONFIG.PCW_MIO_43_IOTYPE {LVCMOS 1.8V} \
  433. CONFIG.PCW_MIO_43_PULLUP {enabled} \
  434. CONFIG.PCW_MIO_43_SLEW {slow} \
  435. CONFIG.PCW_MIO_44_DIRECTION {inout} \
  436. CONFIG.PCW_MIO_44_IOTYPE {LVCMOS 1.8V} \
  437. CONFIG.PCW_MIO_44_PULLUP {enabled} \
  438. CONFIG.PCW_MIO_44_SLEW {slow} \
  439. CONFIG.PCW_MIO_45_DIRECTION {inout} \
  440. CONFIG.PCW_MIO_45_IOTYPE {LVCMOS 1.8V} \
  441. CONFIG.PCW_MIO_45_PULLUP {enabled} \
  442. CONFIG.PCW_MIO_45_SLEW {slow} \
  443. CONFIG.PCW_MIO_46_DIRECTION {out} \
  444. CONFIG.PCW_MIO_46_IOTYPE {LVCMOS 1.8V} \
  445. CONFIG.PCW_MIO_46_PULLUP {enabled} \
  446. CONFIG.PCW_MIO_46_SLEW {slow} \
  447. CONFIG.PCW_MIO_47_DIRECTION {in} \
  448. CONFIG.PCW_MIO_47_IOTYPE {LVCMOS 1.8V} \
  449. CONFIG.PCW_MIO_47_PULLUP {enabled} \
  450. CONFIG.PCW_MIO_47_SLEW {slow} \
  451. CONFIG.PCW_MIO_48_DIRECTION {out} \
  452. CONFIG.PCW_MIO_48_IOTYPE {LVCMOS 1.8V} \
  453. CONFIG.PCW_MIO_48_PULLUP {enabled} \
  454. CONFIG.PCW_MIO_48_SLEW {slow} \
  455. CONFIG.PCW_MIO_49_DIRECTION {in} \
  456. CONFIG.PCW_MIO_49_IOTYPE {LVCMOS 1.8V} \
  457. CONFIG.PCW_MIO_49_PULLUP {enabled} \
  458. CONFIG.PCW_MIO_49_SLEW {slow} \
  459. CONFIG.PCW_MIO_4_DIRECTION {inout} \
  460. CONFIG.PCW_MIO_4_IOTYPE {LVCMOS 3.3V} \
  461. CONFIG.PCW_MIO_4_PULLUP {disabled} \
  462. CONFIG.PCW_MIO_4_SLEW {slow} \
  463. CONFIG.PCW_MIO_50_DIRECTION {inout} \
  464. CONFIG.PCW_MIO_50_IOTYPE {LVCMOS 1.8V} \
  465. CONFIG.PCW_MIO_50_PULLUP {enabled} \
  466. CONFIG.PCW_MIO_50_SLEW {slow} \
  467. CONFIG.PCW_MIO_51_DIRECTION {inout} \
  468. CONFIG.PCW_MIO_51_IOTYPE {LVCMOS 1.8V} \
  469. CONFIG.PCW_MIO_51_PULLUP {enabled} \
  470. CONFIG.PCW_MIO_51_SLEW {slow} \
  471. CONFIG.PCW_MIO_52_DIRECTION {out} \
  472. CONFIG.PCW_MIO_52_IOTYPE {LVCMOS 1.8V} \
  473. CONFIG.PCW_MIO_52_PULLUP {enabled} \
  474. CONFIG.PCW_MIO_52_SLEW {slow} \
  475. CONFIG.PCW_MIO_53_DIRECTION {inout} \
  476. CONFIG.PCW_MIO_53_IOTYPE {LVCMOS 1.8V} \
  477. CONFIG.PCW_MIO_53_PULLUP {enabled} \
  478. CONFIG.PCW_MIO_53_SLEW {slow} \
  479. CONFIG.PCW_MIO_5_DIRECTION {inout} \
  480. CONFIG.PCW_MIO_5_IOTYPE {LVCMOS 3.3V} \
  481. CONFIG.PCW_MIO_5_PULLUP {disabled} \
  482. CONFIG.PCW_MIO_5_SLEW {slow} \
  483. CONFIG.PCW_MIO_6_DIRECTION {out} \
  484. CONFIG.PCW_MIO_6_IOTYPE {LVCMOS 3.3V} \
  485. CONFIG.PCW_MIO_6_PULLUP {disabled} \
  486. CONFIG.PCW_MIO_6_SLEW {slow} \
  487. CONFIG.PCW_MIO_7_DIRECTION {out} \
  488. CONFIG.PCW_MIO_7_IOTYPE {LVCMOS 3.3V} \
  489. CONFIG.PCW_MIO_7_PULLUP {disabled} \
  490. CONFIG.PCW_MIO_7_SLEW {slow} \
  491. CONFIG.PCW_MIO_8_DIRECTION {out} \
  492. CONFIG.PCW_MIO_8_IOTYPE {LVCMOS 3.3V} \
  493. CONFIG.PCW_MIO_8_PULLUP {disabled} \
  494. CONFIG.PCW_MIO_8_SLEW {slow} \
  495. CONFIG.PCW_MIO_9_DIRECTION {inout} \
  496. CONFIG.PCW_MIO_9_IOTYPE {LVCMOS 3.3V} \
  497. CONFIG.PCW_MIO_9_PULLUP {enabled} \
  498. CONFIG.PCW_MIO_9_SLEW {slow} \
  499. CONFIG.PCW_MIO_TREE_PERIPHERALS { \
  500. 0#GPIO#SD 0#UART \
  501. 0#SD 0#SD \
  502. 0#SD 0#SD \
  503. 1#UART 1#GPIO#GPIO#GPIO#GPIO \
  504. Flash#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#SD 0#SD \
  505. Flash#GPIO#Quad SPI \
  506. Flash#Quad SPI \
  507. Flash#Quad SPI \
  508. Flash#Quad SPI \
  509. Flash#Quad SPI \
  510. Flash#Quad SPI \
  511. GPIO#Quad SPI \
  512. } \
  513. CONFIG.PCW_MIO_TREE_SIGNALS {gpio0#qspi0_ss_b#qspi0_io0#qspi0_io1#qspi0_io2#qspi0_io3/HOLD_B#qspi0_sclk#gpio7#qspi_fbclk#gpio9#gpio10#gpio11#gpio12#gpio13#gpio14#gpio15#gpio16#gpio17#gpio18#gpio19#gpio20#gpio21#gpio22#gpio23#gpio24#gpio25#gpio26#gpio27#gpio28#gpio29#gpio30#gpio31#gpio32#gpio33#gpio34#gpio35#gpio36#gpio37#gpio38#gpio39#clk#cmd#data0#data1#data2#data3#gpio46#cd#tx#rx#gpio50#gpio51#gpio52#gpio53} \
  514. CONFIG.PCW_NAND_GRP_D8_ENABLE {0} \
  515. CONFIG.PCW_NAND_PERIPHERAL_ENABLE {0} \
  516. CONFIG.PCW_NOR_GRP_A25_ENABLE {0} \
  517. CONFIG.PCW_NOR_GRP_CS0_ENABLE {0} \
  518. CONFIG.PCW_NOR_GRP_CS1_ENABLE {0} \
  519. CONFIG.PCW_NOR_GRP_SRAM_CS0_ENABLE {0} \
  520. CONFIG.PCW_NOR_GRP_SRAM_CS1_ENABLE {0} \
  521. CONFIG.PCW_NOR_GRP_SRAM_INT_ENABLE {0} \
  522. CONFIG.PCW_NOR_PERIPHERAL_ENABLE {0} \
  523. CONFIG.PCW_OVERRIDE_BASIC_CLOCK {0} \
  524. CONFIG.PCW_PACKAGE_DDR_BOARD_DELAY0 {0.221} \
  525. CONFIG.PCW_PACKAGE_DDR_BOARD_DELAY1 {0.222} \
  526. CONFIG.PCW_PACKAGE_DDR_BOARD_DELAY2 {0.217} \
  527. CONFIG.PCW_PACKAGE_DDR_BOARD_DELAY3 {0.244} \
  528. CONFIG.PCW_PACKAGE_DDR_DQS_TO_CLK_DELAY_0 {-0.050} \
  529. CONFIG.PCW_PACKAGE_DDR_DQS_TO_CLK_DELAY_1 {-0.044} \
  530. CONFIG.PCW_PACKAGE_DDR_DQS_TO_CLK_DELAY_2 {-0.035} \
  531. CONFIG.PCW_PACKAGE_DDR_DQS_TO_CLK_DELAY_3 {-0.100} \
  532. CONFIG.PCW_PCAP_PERIPHERAL_CLKSRC {IO PLL} \
  533. CONFIG.PCW_PCAP_PERIPHERAL_DIVISOR0 {8} \
  534. CONFIG.PCW_PCAP_PERIPHERAL_FREQMHZ {200} \
  535. CONFIG.PCW_PJTAG_PERIPHERAL_ENABLE {0} \
  536. CONFIG.PCW_PLL_BYPASSMODE_ENABLE {0} \
  537. CONFIG.PCW_PRESET_BANK0_VOLTAGE {LVCMOS 3.3V} \
  538. CONFIG.PCW_PRESET_BANK1_VOLTAGE {LVCMOS 1.8V} \
  539. CONFIG.PCW_QSPI_GRP_FBCLK_ENABLE {1} \
  540. CONFIG.PCW_QSPI_GRP_FBCLK_IO {MIO 8} \
  541. CONFIG.PCW_QSPI_GRP_IO1_ENABLE {0} \
  542. CONFIG.PCW_QSPI_GRP_SINGLE_SS_ENABLE {1} \
  543. CONFIG.PCW_QSPI_GRP_SINGLE_SS_IO {MIO 1 .. 6} \
  544. CONFIG.PCW_QSPI_GRP_SS1_ENABLE {0} \
  545. CONFIG.PCW_QSPI_INTERNAL_HIGHADDRESS {0xFCFFFFFF} \
  546. CONFIG.PCW_QSPI_PERIPHERAL_CLKSRC {IO PLL} \
  547. CONFIG.PCW_QSPI_PERIPHERAL_DIVISOR0 {8} \
  548. CONFIG.PCW_QSPI_PERIPHERAL_ENABLE {1} \
  549. CONFIG.PCW_QSPI_PERIPHERAL_FREQMHZ {200} \
  550. CONFIG.PCW_QSPI_QSPI_IO {MIO 1 .. 6} \
  551. CONFIG.PCW_SD0_GRP_CD_ENABLE {1} \
  552. CONFIG.PCW_SD0_GRP_CD_IO {MIO 47} \
  553. CONFIG.PCW_SD0_GRP_POW_ENABLE {0} \
  554. CONFIG.PCW_SD0_GRP_WP_ENABLE {0} \
  555. CONFIG.PCW_SD0_PERIPHERAL_ENABLE {1} \
  556. CONFIG.PCW_SD0_SD0_IO {MIO 40 .. 45} \
  557. CONFIG.PCW_SDIO_PERIPHERAL_CLKSRC {IO PLL} \
  558. CONFIG.PCW_SDIO_PERIPHERAL_DIVISOR0 {32} \
  559. CONFIG.PCW_SDIO_PERIPHERAL_FREQMHZ {50} \
  560. CONFIG.PCW_SDIO_PERIPHERAL_VALID {1} \
  561. CONFIG.PCW_SINGLE_QSPI_DATA_MODE {x4} \
  562. CONFIG.PCW_SMC_PERIPHERAL_CLKSRC {IO PLL} \
  563. CONFIG.PCW_SMC_PERIPHERAL_DIVISOR0 {1} \
  564. CONFIG.PCW_SMC_PERIPHERAL_FREQMHZ {100} \
  565. CONFIG.PCW_SPI_PERIPHERAL_DIVISOR0 {1} \
  566. CONFIG.PCW_TPIU_PERIPHERAL_CLKSRC {External} \
  567. CONFIG.PCW_TPIU_PERIPHERAL_DIVISOR0 {1} \
  568. CONFIG.PCW_TPIU_PERIPHERAL_FREQMHZ {200} \
  569. CONFIG.PCW_UART1_BAUD_RATE {115200} \
  570. CONFIG.PCW_UART1_GRP_FULL_ENABLE {0} \
  571. CONFIG.PCW_UART1_PERIPHERAL_ENABLE {1} \
  572. CONFIG.PCW_UART1_UART1_IO {MIO 48 .. 49} \
  573. CONFIG.PCW_UART_PERIPHERAL_CLKSRC {IO PLL} \
  574. CONFIG.PCW_UART_PERIPHERAL_DIVISOR0 {16} \
  575. CONFIG.PCW_UART_PERIPHERAL_FREQMHZ {100} \
  576. CONFIG.PCW_UART_PERIPHERAL_VALID {1} \
  577. CONFIG.PCW_UIPARAM_ACT_DDR_FREQ_MHZ {533.333374} \
  578. CONFIG.PCW_UIPARAM_DDR_ADV_ENABLE {0} \
  579. CONFIG.PCW_UIPARAM_DDR_AL {0} \
  580. CONFIG.PCW_UIPARAM_DDR_BANK_ADDR_COUNT {3} \
  581. CONFIG.PCW_UIPARAM_DDR_BL {8} \
  582. CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY0 {0.221} \
  583. CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY1 {0.222} \
  584. CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY2 {0.217} \
  585. CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY3 {0.244} \
  586. CONFIG.PCW_UIPARAM_DDR_BUS_WIDTH {32 Bit} \
  587. CONFIG.PCW_UIPARAM_DDR_CL {7} \
  588. CONFIG.PCW_UIPARAM_DDR_CLOCK_0_LENGTH_MM {18.8} \
  589. CONFIG.PCW_UIPARAM_DDR_CLOCK_0_PACKAGE_LENGTH {80.4535} \
  590. CONFIG.PCW_UIPARAM_DDR_CLOCK_0_PROPOGATION_DELAY {160} \
  591. CONFIG.PCW_UIPARAM_DDR_CLOCK_1_LENGTH_MM {18.8} \
  592. CONFIG.PCW_UIPARAM_DDR_CLOCK_1_PACKAGE_LENGTH {80.4535} \
  593. CONFIG.PCW_UIPARAM_DDR_CLOCK_1_PROPOGATION_DELAY {160} \
  594. CONFIG.PCW_UIPARAM_DDR_CLOCK_2_LENGTH_MM {18.8} \
  595. CONFIG.PCW_UIPARAM_DDR_CLOCK_2_PACKAGE_LENGTH {80.4535} \
  596. CONFIG.PCW_UIPARAM_DDR_CLOCK_2_PROPOGATION_DELAY {160} \
  597. CONFIG.PCW_UIPARAM_DDR_CLOCK_3_LENGTH_MM {18.8} \
  598. CONFIG.PCW_UIPARAM_DDR_CLOCK_3_PACKAGE_LENGTH {80.4535} \
  599. CONFIG.PCW_UIPARAM_DDR_CLOCK_3_PROPOGATION_DELAY {160} \
  600. CONFIG.PCW_UIPARAM_DDR_CLOCK_STOP_EN {0} \
  601. CONFIG.PCW_UIPARAM_DDR_COL_ADDR_COUNT {10} \
  602. CONFIG.PCW_UIPARAM_DDR_CWL {6} \
  603. CONFIG.PCW_UIPARAM_DDR_DEVICE_CAPACITY {4096 MBits} \
  604. CONFIG.PCW_UIPARAM_DDR_DQS_0_LENGTH_MM {22.8} \
  605. CONFIG.PCW_UIPARAM_DDR_DQS_0_PACKAGE_LENGTH {105.056} \
  606. CONFIG.PCW_UIPARAM_DDR_DQS_0_PROPOGATION_DELAY {160} \
  607. CONFIG.PCW_UIPARAM_DDR_DQS_1_LENGTH_MM {27.9} \
  608. CONFIG.PCW_UIPARAM_DDR_DQS_1_PACKAGE_LENGTH {66.904} \
  609. CONFIG.PCW_UIPARAM_DDR_DQS_1_PROPOGATION_DELAY {160} \
  610. CONFIG.PCW_UIPARAM_DDR_DQS_2_LENGTH_MM {22.9} \
  611. CONFIG.PCW_UIPARAM_DDR_DQS_2_PACKAGE_LENGTH {89.1715} \
  612. CONFIG.PCW_UIPARAM_DDR_DQS_2_PROPOGATION_DELAY {160} \
  613. CONFIG.PCW_UIPARAM_DDR_DQS_3_LENGTH_MM {29.4} \
  614. CONFIG.PCW_UIPARAM_DDR_DQS_3_PACKAGE_LENGTH {113.63} \
  615. CONFIG.PCW_UIPARAM_DDR_DQS_3_PROPOGATION_DELAY {160} \
  616. CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 {-0.050} \
  617. CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 {-0.044} \
  618. CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 {-0.035} \
  619. CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 {-0.100} \
  620. CONFIG.PCW_UIPARAM_DDR_DQ_0_LENGTH_MM {22.8} \
  621. CONFIG.PCW_UIPARAM_DDR_DQ_0_PACKAGE_LENGTH {98.503} \
  622. CONFIG.PCW_UIPARAM_DDR_DQ_0_PROPOGATION_DELAY {160} \
  623. CONFIG.PCW_UIPARAM_DDR_DQ_1_LENGTH_MM {27.9} \
  624. CONFIG.PCW_UIPARAM_DDR_DQ_1_PACKAGE_LENGTH {68.5855} \
  625. CONFIG.PCW_UIPARAM_DDR_DQ_1_PROPOGATION_DELAY {160} \
  626. CONFIG.PCW_UIPARAM_DDR_DQ_2_LENGTH_MM {22.9} \
  627. CONFIG.PCW_UIPARAM_DDR_DQ_2_PACKAGE_LENGTH {90.295} \
  628. CONFIG.PCW_UIPARAM_DDR_DQ_2_PROPOGATION_DELAY {160} \
  629. CONFIG.PCW_UIPARAM_DDR_DQ_3_LENGTH_MM {29.4} \
  630. CONFIG.PCW_UIPARAM_DDR_DQ_3_PACKAGE_LENGTH {103.977} \
  631. CONFIG.PCW_UIPARAM_DDR_DQ_3_PROPOGATION_DELAY {160} \
  632. CONFIG.PCW_UIPARAM_DDR_DRAM_WIDTH {16 Bits} \
  633. CONFIG.PCW_UIPARAM_DDR_ECC {Disabled} \
  634. CONFIG.PCW_UIPARAM_DDR_ENABLE {1} \
  635. CONFIG.PCW_UIPARAM_DDR_FREQ_MHZ {533.333333} \
  636. CONFIG.PCW_UIPARAM_DDR_HIGH_TEMP {Normal (0-85)} \
  637. CONFIG.PCW_UIPARAM_DDR_MEMORY_TYPE {DDR 3 (Low Voltage)} \
  638. CONFIG.PCW_UIPARAM_DDR_PARTNO {MT41K256M16 RE-125} \
  639. CONFIG.PCW_UIPARAM_DDR_ROW_ADDR_COUNT {15} \
  640. CONFIG.PCW_UIPARAM_DDR_SPEED_BIN {DDR3_1066F} \
  641. CONFIG.PCW_UIPARAM_DDR_TRAIN_DATA_EYE {1} \
  642. CONFIG.PCW_UIPARAM_DDR_TRAIN_READ_GATE {1} \
  643. CONFIG.PCW_UIPARAM_DDR_TRAIN_WRITE_LEVEL {1} \
  644. CONFIG.PCW_UIPARAM_DDR_T_FAW {40.0} \
  645. CONFIG.PCW_UIPARAM_DDR_T_RAS_MIN {35.0} \
  646. CONFIG.PCW_UIPARAM_DDR_T_RC {48.75} \
  647. CONFIG.PCW_UIPARAM_DDR_T_RCD {7} \
  648. CONFIG.PCW_UIPARAM_DDR_T_RP {7} \
  649. CONFIG.PCW_UIPARAM_DDR_USE_INTERNAL_VREF {0} \
  650. CONFIG.PCW_USB0_PERIPHERAL_ENABLE {0} \
  651. CONFIG.PCW_USB0_PERIPHERAL_FREQMHZ {60} \
  652. CONFIG.PCW_USB0_RESET_ENABLE {1} \
  653. CONFIG.PCW_USB0_RESET_IO {MIO 46} \
  654. CONFIG.PCW_USB0_USB0_IO {<Select>} \
  655. CONFIG.PCW_USB1_RESET_ENABLE {0} \
  656. CONFIG.PCW_USB_RESET_ENABLE {1} \
  657. CONFIG.PCW_USB_RESET_POLARITY {Active Low} \
  658. CONFIG.PCW_USB_RESET_SELECT {<Select>} \
  659. CONFIG.PCW_USE_AXI_NONSECURE {0} \
  660. CONFIG.PCW_USE_CROSS_TRIGGER {0} \
  661. CONFIG.PCW_USE_M_AXI_GP0 {0} \
  662. ] $processing_system7_0
  663. # Create interface connections
  664. connect_bd_intf_net -intf_net processing_system7_0_DDR [get_bd_intf_ports DDR] [get_bd_intf_pins processing_system7_0/DDR]
  665. connect_bd_intf_net -intf_net processing_system7_0_FIXED_IO [get_bd_intf_ports FIXED_IO] [get_bd_intf_pins processing_system7_0/FIXED_IO]
  666. connect_bd_intf_net -intf_net processing_system7_0_GPIO_0 [get_bd_intf_ports GPIO_0_0] [get_bd_intf_pins processing_system7_0/GPIO_0]
  667. # Create port connections
  668. # Create address segments
  669. # Restore current instance
  670. current_bd_instance $oldCurInst
  671. validate_bd_design
  672. save_bd_design
  673. close_bd_design $design_name
  674. }
  675. cr_bd_design_1 ""
    INFO: [BD::TCL 103-2010] Currently there is no design <design_1> in project, so creating one...
    Wrote : <C:\AimaginProjects\Zybo\Hardware_Z7000\sd_demo\project_1\project_1.srcs\sources_1\bd\design_1\design_1.bd>
    INFO: [BD::TCL 103-2011] Checking if the following IPs exist in the project's IP catalog:
    xilinx.com:ip:processing_system7:5.5 .
    CRITICAL WARNING: [PSU-1] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 has negative value -0.050 . PS DDR interfaces might fail when entering negative DQS skew values.
    CRITICAL WARNING: [PSU-2] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 has negative value -0.044 . PS DDR interfaces might fail when entering negative DQS skew values.
    CRITICAL WARNING: [PSU-3] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 has negative value -0.035 . PS DDR interfaces might fail when entering negative DQS skew values.
    CRITICAL WARNING: [PSU-4] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 has negative value -0.100 . PS DDR interfaces might fail when entering negative DQS skew values.
    CRITICAL WARNING: [PSU-1] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 has negative value -0.050 . PS DDR interfaces might fail when entering negative DQS skew values.
    CRITICAL WARNING: [PSU-2] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 has negative value -0.044 . PS DDR interfaces might fail when entering negative DQS skew values.
    CRITICAL WARNING: [PSU-3] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 has negative value -0.035 . PS DDR interfaces might fail when entering negative DQS skew values.
    CRITICAL WARNING: [PSU-4] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 has negative value -0.100 . PS DDR interfaces might fail when entering negative DQS skew values.
    Wrote : <C:/AimaginProjects/Zybo/Hardware_Z7000/sd_demo/project_1/project_1.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
  676. set_property REGISTERED_WITH_MANAGER "1" [get_files design_1.bd ]
  677. set_property SYNTH_CHECKPOINT_MODE "Hierarchical" [get_files design_1.bd ]
  678. if { [get_property IS_LOCKED [ get_files -norecurse design_1.bd] ] == 1 } {
  679. import_files -fileset sources_1 [file normalize "${origin_dir}/project_1/project_1.gen/sources_1/bd/design_1/hdl/design_1_wrapper.vhd" ]
  680. } else {
  681. set wrapper_path [make_wrapper -fileset sources_1 -files [ get_files -norecurse design_1.bd] -top]
  682. add_files -norecurse -fileset sources_1 $wrapper_path
  683. }
    INFO: [BD 41-1662] The design 'design_1.bd' is already validated. Therefore parameter propagation will not be re-run.
    VHDL Output written to : c:/AimaginProjects/Zybo/Hardware_Z7000/sd_demo/project_1/project_1.gen/sources_1/bd/design_1/synth/design_1.vhd
    VHDL Output written to : c:/AimaginProjects/Zybo/Hardware_Z7000/sd_demo/project_1/project_1.gen/sources_1/bd/design_1/sim/design_1.vhd
    VHDL Output written to : c:/AimaginProjects/Zybo/Hardware_Z7000/sd_demo/project_1/project_1.gen/sources_1/bd/design_1/hdl/design_1_wrapper.vhd
  684. if {[string equal [get_runs -quiet synth_1] ""]} {
  685. create_run -name synth_1 -part xc7z020clg400-1 -flow {Vivado Synthesis 2020} -strategy "Vivado Synthesis Defaults" -report_strategy {No Reports} -constrset constrs_1
  686. } else {
  687. set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1]
  688. set_property flow "Vivado Synthesis 2020" [get_runs synth_1]
  689. }
  690. set obj [get_runs synth_1]
  691. set_property set_report_strategy_name 1 $obj
  692. set_property report_strategy {Vivado Synthesis Default Reports} $obj
  693. set_property set_report_strategy_name 0 $obj
  694. if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } {
  695. create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1
  696. }
  697. set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0]
  698. if { $obj != "" } {
  699. }
  700. set obj [get_runs synth_1]
  701. set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj
  702. current_run -synthesis [get_runs synth_1]
  703. if {[string equal [get_runs -quiet impl_1] ""]} {
  704. create_run -name impl_1 -part xc7z020clg400-1 -flow {Vivado Implementation 2020} -strategy "Vivado Implementation Defaults" -report_strategy {No Reports} -constrset constrs_1 -parent_run synth_1
  705. } else {
  706. set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
  707. set_property flow "Vivado Implementation 2020" [get_runs impl_1]
  708. }
  709. set obj [get_runs impl_1]
  710. set_property set_report_strategy_name 1 $obj
  711. set_property report_strategy {Vivado Implementation Default Reports} $obj
  712. set_property set_report_strategy_name 0 $obj
  713. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } {
  714. create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1
  715. }
  716. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0]
  717. if { $obj != "" } {
  718. set_property -name "is_enabled" -value "0" -objects $obj
  719. set_property -name "options.max_paths" -value "10" -objects $obj
  720. }
  721. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } {
  722. create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1
  723. }
  724. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0]
  725. if { $obj != "" } {
  726. }
  727. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } {
  728. create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1
  729. }
  730. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0]
  731. if { $obj != "" } {
  732. set_property -name "is_enabled" -value "0" -objects $obj
  733. set_property -name "options.max_paths" -value "10" -objects $obj
  734. }
  735. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } {
  736. create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1
  737. }
  738. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0]
  739. if { $obj != "" } {
  740. set_property -name "is_enabled" -value "0" -objects $obj
  741. set_property -name "options.max_paths" -value "10" -objects $obj
  742. }
  743. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } {
  744. create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1
  745. }
  746. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0]
  747. if { $obj != "" } {
  748. }
  749. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } {
  750. create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1
  751. }
  752. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0]
  753. if { $obj != "" } {
  754. }
  755. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } {
  756. create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1
  757. }
  758. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0]
  759. if { $obj != "" } {
  760. set_property -name "options.verbose" -value "1" -objects $obj
  761. }
  762. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } {
  763. create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
  764. }
  765. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0]
  766. if { $obj != "" } {
  767. set_property -name "is_enabled" -value "0" -objects $obj
  768. }
  769. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } {
  770. create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
  771. }
  772. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1]
  773. if { $obj != "" } {
  774. set_property -name "is_enabled" -value "0" -objects $obj
  775. }
  776. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } {
  777. create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1
  778. }
  779. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0]
  780. if { $obj != "" } {
  781. set_property -name "is_enabled" -value "0" -objects $obj
  782. set_property -name "options.max_paths" -value "10" -objects $obj
  783. }
  784. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } {
  785. create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1
  786. }
  787. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0]
  788. if { $obj != "" } {
  789. set_property -name "is_enabled" -value "0" -objects $obj
  790. set_property -name "options.max_paths" -value "10" -objects $obj
  791. }
  792. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } {
  793. create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1
  794. }
  795. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0]
  796. if { $obj != "" } {
  797. set_property -name "is_enabled" -value "0" -objects $obj
  798. set_property -name "options.max_paths" -value "10" -objects $obj
  799. }
  800. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } {
  801. create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1
  802. }
  803. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0]
  804. if { $obj != "" } {
  805. }
  806. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } {
  807. create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1
  808. }
  809. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0]
  810. if { $obj != "" } {
  811. }
  812. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } {
  813. create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1
  814. }
  815. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0]
  816. if { $obj != "" } {
  817. }
  818. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } {
  819. create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1
  820. }
  821. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0]
  822. if { $obj != "" } {
  823. }
  824. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } {
  825. create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1
  826. }
  827. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0]
  828. if { $obj != "" } {
  829. set_property -name "options.max_paths" -value "10" -objects $obj
  830. }
  831. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } {
  832. create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1
  833. }
  834. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0]
  835. if { $obj != "" } {
  836. }
  837. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } {
  838. create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1
  839. }
  840. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0]
  841. if { $obj != "" } {
  842. }
  843. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0] "" ] } {
  844. create_report_config -report_name impl_1_route_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps route_design -runs impl_1
  845. }
  846. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0]
  847. if { $obj != "" } {
  848. set_property -name "options.warn_on_violation" -value "1" -objects $obj
  849. }
  850. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } {
  851. create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1
  852. }
  853. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0]
  854. if { $obj != "" } {
  855. set_property -name "options.max_paths" -value "10" -objects $obj
  856. set_property -name "options.warn_on_violation" -value "1" -objects $obj
  857. }
  858. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0] "" ] } {
  859. create_report_config -report_name impl_1_post_route_phys_opt_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps post_route_phys_opt_design -runs impl_1
  860. }
  861. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0]
  862. if { $obj != "" } {
  863. set_property -name "options.warn_on_violation" -value "1" -objects $obj
  864. }
  865. set obj [get_runs impl_1]
  866. set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj
  867. set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj
  868. set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj
  869. current_run -implementation [get_runs impl_1]
  870. puts "INFO: Project created:${_xil_proj_name_}"
    INFO: Project created:project_1
  871. if {[string equal [get_dashboard_gadgets [ list "drc_1" ] ] ""]} {
  872. create_dashboard_gadget -name {drc_1} -type drc
  873. }
  874. set obj [get_dashboard_gadgets [ list "drc_1" ] ]
  875. set_property -name "reports" -value "impl_1#impl_1_route_report_drc_0" -objects $obj
  876. if {[string equal [get_dashboard_gadgets [ list "methodology_1" ] ] ""]} {
  877. create_dashboard_gadget -name {methodology_1} -type methodology
  878. }
  879. set obj [get_dashboard_gadgets [ list "methodology_1" ] ]
  880. set_property -name "reports" -value "impl_1#impl_1_route_report_methodology_0" -objects $obj
  881. if {[string equal [get_dashboard_gadgets [ list "power_1" ] ] ""]} {
  882. create_dashboard_gadget -name {power_1} -type power
  883. }
  884. set obj [get_dashboard_gadgets [ list "power_1" ] ]
  885. set_property -name "reports" -value "impl_1#impl_1_route_report_power_0" -objects $obj
  886. if {[string equal [get_dashboard_gadgets [ list "timing_1" ] ] ""]} {
  887. create_dashboard_gadget -name {timing_1} -type timing
  888. }
  889. set obj [get_dashboard_gadgets [ list "timing_1" ] ]
  890. set_property -name "reports" -value "impl_1#impl_1_route_report_timing_summary_0" -objects $obj
  891. if {[string equal [get_dashboard_gadgets [ list "utilization_1" ] ] ""]} {
  892. create_dashboard_gadget -name {utilization_1} -type utilization
  893. }
  894. set obj [get_dashboard_gadgets [ list "utilization_1" ] ]
  895. set_property -name "reports" -value "synth_1#synth_1_synth_report_utilization_0" -objects $obj
  896. set_property -name "run.step" -value "synth_design" -objects $obj
  897. set_property -name "run.type" -value "synthesis" -objects $obj
  898. if {[string equal [get_dashboard_gadgets [ list "utilization_2" ] ] ""]} {
  899. create_dashboard_gadget -name {utilization_2} -type utilization
  900. }
  901. set obj [get_dashboard_gadgets [ list "utilization_2" ] ]
  902. set_property -name "reports" -value "impl_1#impl_1_place_report_utilization_0" -objects $obj
  903. move_dashboard_gadget -name {utilization_1} -row 0 -col 0
  904. move_dashboard_gadget -name {power_1} -row 1 -col 0
  905. move_dashboard_gadget -name {drc_1} -row 2 -col 0
  906. move_dashboard_gadget -name {timing_1} -row 0 -col 1
  907. move_dashboard_gadget -name {utilization_2} -row 1 -col 1
  908. move_dashboard_gadget -name {methodology_1} -row 2 -col 1
    update_compile_order -fileset sources_1

Replies (1)

RE: Cannot build hardware for sd_demo - Added by Shawn Sebastian Pulle (ฌอน) about 1 year ago

Hi Johan,

Thank you for the feedback.
The tcl file will be updated in the next release.

    (1-1/1)