Project

General

Profile

Errors when generating .xsa file (adc_demo project)

Added by Johan Henning about 1 year ago

This is what I did:

Followed the instructions.

Checked if the board was available:
issued the command: get_board_parts zybo
result: digilentinc.com:zybo-z7-10:part0:1.1 digilentinc.com:zybo-z7-20:part0:1.1 digilentinc.com:zybo:part0:1.0 digilentinc.com:zybo:part0:2.0

changed to directory of .tcl file

issued the command: source zybo7z20_adc_demo.tcl

This results in the following logfile and dialogs:


get_board_parts zybo
digilentinc.com:zybo-z7-10:part0:1.1 digilentinc.com:zybo-z7-20:part0:1.1 digilentinc.com:zybo:part0:1.0 digilentinc.com:zybo:part0:2.0

source zybo7z20_adc_demo.tcl
  1. proc checkRequiredFiles { origin_dir} {
  2. set status true
  3. set files [list \
  4. "xdc/Zybo-Z7-Master.xdc" \
  5. ]
  6. foreach ifile $files {
  7. if { ![file isfile $ifile] } {
  8. puts " Could not find local file $ifile "
  9. set status false
  10. }
  11. }
  12. return $status
  13. }
  14. set origin_dir "."
  15. if { [info exists ::origin_dir_loc] } {
  16. set origin_dir $::origin_dir_loc
  17. }
  18. set xil_proj_name "adc_demo"
  19. if { [info exists ::user_project_name] } {
  20. set xil_proj_name $::user_project_name
  21. }
  22. variable script_file
  23. set script_file "zybo7z20_adc_demo.tcl"
  24. proc print_help {} {
  25. variable script_file
  26. puts "\nDescription:"
  27. puts "Recreate a Vivado project from this script. The created project will be"
  28. puts "functionally equivalent to the original project for which this script was"
  29. puts "generated. The script contains commands for creating a project, filesets,"
  30. puts "runs, adding/importing sources and setting properties on various objects.\n"
  31. puts "Syntax:"
  32. puts "$script_file"
  33. puts "$script_file -tclargs \[--origin_dir <path>\]"
  34. puts "$script_file -tclargs \[--project_name <name>\]"
  35. puts "$script_file -tclargs \[--help\]\n"
  36. puts "Usage:"
  37. puts "Name Description"
  38. puts "-------------------------------------------------------------------------"
  39. puts "\[--origin_dir <path>\] Determine source file paths wrt this path. Default"
  40. puts " origin_dir path value is \".\", otherwise, the value"
  41. puts " that was set with the \"-paths_relative_to\" switch"
  42. puts " when this script was generated.\n"
  43. puts "\[--project_name <name>\] Create project with the specified name. Default"
  44. puts " name is the name of the project from where this"
  45. puts " script was generated.\n"
  46. puts "\[--help\] Print help information for this script"
  47. puts "-------------------------------------------------------------------------\n"
  48. exit 0
  49. }
  50. if { $::argc > 0 } {
  51. for {set i 0} {$i < $::argc} {incr i} {
  52. set option [string trim [lindex $::argv $i]]
  53. switch regexp - $option {
  54. "--origin_dir" { incr i; set origin_dir [lindex $::argv $i] }
  55. "--project_name" { incr i; set xil_proj_name [lindex $::argv $i] }
  56. "--help" { print_help }
  57. default {
  58. if { [regexp {^-} $option] } {
  59. puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n"
  60. return 1
  61. }
  62. }
  63. }
  64. }
  65. }
  66. set orig_proj_dir "[file normalize "$origin_dir/adc_demo"]"
  67. set validate_required 0
  68. if { $validate_required } {
  69. if { [checkRequiredFiles $origin_dir] } {
  70. puts "Tcl file $script_file is valid. All files required for project creation is accesable. "
  71. } else {
  72. puts "Tcl file $script_file is not valid. Not all files required for project creation is accesable. "
  73. return
  74. }
  75. }
  76. create_project ${_xil_proj_name_} ./${_xil_proj_name_} -part xc7z020clg400-1
    INFO: [IP_Flow 19-234] Refreshing IP repositories
    INFO: [IP_Flow 19-1704] No user IP repositories specified
    INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2020.2/data/ip'.
  77. set proj_dir [get_property directory [current_project]]
  78. set obj [current_project]
  79. set_property -name "board_part" -value "digilentinc.com:zybo-z7-20:part0:1.1" -objects $obj
  80. set_property -name "default_lib" -value "xil_defaultlib" -objects $obj
  81. set_property -name "enable_vhdl_2008" -value "1" -objects $obj
  82. set_property -name "ip_cache_permissions" -value "read write" -objects $obj
  83. set_property -name "ip_output_repo" -value "$proj_dir/${_xil_proj_name_}.cache/ip" -objects $obj
  84. set_property -name "mem.enable_memory_map_generation" -value "1" -objects $obj
  85. set_property -name "platform.board_id" -value "zybo-z7-20" -objects $obj
  86. set_property -name "sim.central_dir" -value "$proj_dir/${_xil_proj_name_}.ip_user_files" -objects $obj
  87. set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj
  88. set_property -name "simulator_language" -value "Mixed" -objects $obj
  89. set_property -name "xpm_libraries" -value "XPM_CDC XPM_MEMORY" -objects $obj
  90. if {[string equal [get_filesets -quiet sources_1] ""]} {
  91. create_fileset -srcset sources_1
  92. }
  93. set obj [get_filesets sources_1]
  94. set obj [get_filesets sources_1]
  95. set_property -name "top" -value "design_1_wrapper" -objects $obj
  96. if {[string equal [get_filesets -quiet constrs_1] ""]} {
  97. create_fileset -constrset constrs_1
  98. }
  99. set obj [get_filesets constrs_1]
  100. set file "[file normalize "xdc/Zybo-Z7-Master.xdc"]"
  101. set file_imported [import_files -fileset constrs_1 [list $file]]
  102. set file "xdc/Zybo-Z7-Master.xdc"
  103. set file_obj [get_files -of_objects [get_filesets constrs_1] [list "*$file"]]
  104. set_property -name "file_type" -value "XDC" -objects $file_obj
  105. set obj [get_filesets constrs_1]
    WARNING: [Vivado 12-818] No files matched 'xdc/Zybo-Z7-Master.xdc'
  106. set_property -name "target_constrs_file" -value "[get_files xdc/Zybo-Z7-Master.xdc]" -objects $obj
    WARNING: [Vivado 12-818] No files matched 'xdc/Zybo-Z7-Master.xdc'
  107. set_property -name "target_ucf" -value "[get_files xdc/Zybo-Z7-Master.xdc]" -objects $obj
  108. if {[string equal [get_filesets -quiet sim_1] ""]} {
  109. create_fileset -simset sim_1
  110. }
  111. set obj [get_filesets sim_1]
  112. set obj [get_filesets sim_1]
  113. set_property -name "hbs.configure_design_for_hier_access" -value "1" -objects $obj
  114. set_property -name "top" -value "design_1_wrapper" -objects $obj
  115. set_property -name "top_lib" -value "xil_defaultlib" -objects $obj
  116. set obj [get_filesets utils_1]
  117. set obj [get_filesets utils_1]
  118. proc cr_bd_design_1 { parentCell } {
  119. # CHANGE DESIGN NAME HERE
  120. set design_name design_1
  121. common::send_gid_msg -ssname BD::TCL -id 2010 -severity "INFO" "Currently there is no design <$design_name> in project, so creating one..."
  122. create_bd_design $design_name
  123. set bCheckIPsPassed 1
  124. ##################################################################
  125. # CHECK IPs
  126. ##################################################################
  127. set bCheckIPs 1
  128. if { $bCheckIPs == 1 } {
  129. set list_check_ips "\
  130. xilinx.com:ip:processing_system7:5.5\
  131. xilinx.com:ip:proc_sys_reset:5.0\
  132. xilinx.com:ip:xadc_wiz:3.3\
  133. "
  134. set list_ips_missing ""
  135. common::send_gid_msg -ssname BD::TCL -id 2011 -severity "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ."
  136. foreach ip_vlnv $list_check_ips {
  137. set ip_obj [get_ipdefs -all $ip_vlnv]
  138. if { $ip_obj eq "" } {
  139. lappend list_ips_missing $ip_vlnv
  140. }
  141. }
  142. if { $list_ips_missing ne "" } {
  143. catch {common::send_gid_msg -ssname BD::TCL -id 2012 -severity "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP to the project." }
  144. set bCheckIPsPassed 0
  145. }
  146. }
  147. if { $bCheckIPsPassed != 1 } {
  148. common::send_gid_msg -ssname BD::TCL -id 2023 -severity "WARNING" "Will not continue with creation of design due to the error(s) above."
  149. return 3
  150. }
  151. variable script_folder
  152. if { $parentCell eq "" } {
  153. set parentCell [get_bd_cells /]
  154. }
  155. # Get object for parentCell
  156. set parentObj [get_bd_cells $parentCell]
  157. if { $parentObj == "" } {
  158. catch {common::send_gid_msg -ssname BD::TCL -id 2090 -severity "ERROR" "Unable to find parent cell <$parentCell>!"}
  159. return
  160. }
  161. # Make sure parentObj is hier blk
  162. set parentType [get_property TYPE $parentObj]
  163. if { $parentType ne "hier" } {
  164. catch {common::send_gid_msg -ssname BD::TCL -id 2091 -severity "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
  165. return
  166. }
  167. # Save current instance; Restore later
  168. set oldCurInst [current_bd_instance .]
  169. # Set parent object as current
  170. current_bd_instance $parentObj
  171. # Create interface ports
  172. set DDR [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:ddrx_rtl:1.0 DDR ]
  173. set FIXED_IO [ create_bd_intf_port -mode Master -vlnv xilinx.com:display_processing_system7:fixedio_rtl:1.0 FIXED_IO ]
  174. set Vaux6 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 Vaux6 ]
  175. set Vaux7 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 Vaux7 ]
  176. set Vaux14 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 Vaux14 ]
  177. set Vaux15 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 Vaux15 ]
  178. # Create ports
  179. # Create instance: processing_system7_0, and set properties
  180. set processing_system7_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:processing_system7:5.5 processing_system7_0 ]
  181. set_property -dict [ list \
  182. CONFIG.PCW_ACT_APU_PERIPHERAL_FREQMHZ {666.666687} \
  183. CONFIG.PCW_ACT_CAN_PERIPHERAL_FREQMHZ {10.000000} \
  184. CONFIG.PCW_ACT_DCI_PERIPHERAL_FREQMHZ {10.158730} \
  185. CONFIG.PCW_ACT_ENET0_PERIPHERAL_FREQMHZ {125.000000} \
  186. CONFIG.PCW_ACT_ENET1_PERIPHERAL_FREQMHZ {10.000000} \
  187. CONFIG.PCW_ACT_FPGA0_PERIPHERAL_FREQMHZ {50.000000} \
  188. CONFIG.PCW_ACT_FPGA1_PERIPHERAL_FREQMHZ {10.000000} \
  189. CONFIG.PCW_ACT_FPGA2_PERIPHERAL_FREQMHZ {10.000000} \
  190. CONFIG.PCW_ACT_FPGA3_PERIPHERAL_FREQMHZ {10.000000} \
  191. CONFIG.PCW_ACT_PCAP_PERIPHERAL_FREQMHZ {200.000000} \
  192. CONFIG.PCW_ACT_QSPI_PERIPHERAL_FREQMHZ {200.000000} \
  193. CONFIG.PCW_ACT_SDIO_PERIPHERAL_FREQMHZ {50.000000} \
  194. CONFIG.PCW_ACT_SMC_PERIPHERAL_FREQMHZ {10.000000} \
  195. CONFIG.PCW_ACT_SPI_PERIPHERAL_FREQMHZ {10.000000} \
  196. CONFIG.PCW_ACT_TPIU_PERIPHERAL_FREQMHZ {200.000000} \
  197. CONFIG.PCW_ACT_TTC0_CLK0_PERIPHERAL_FREQMHZ {111.111115} \
  198. CONFIG.PCW_ACT_TTC0_CLK1_PERIPHERAL_FREQMHZ {111.111115} \
  199. CONFIG.PCW_ACT_TTC0_CLK2_PERIPHERAL_FREQMHZ {111.111115} \
  200. CONFIG.PCW_ACT_TTC1_CLK0_PERIPHERAL_FREQMHZ {111.111115} \
  201. CONFIG.PCW_ACT_TTC1_CLK1_PERIPHERAL_FREQMHZ {111.111115} \
  202. CONFIG.PCW_ACT_TTC1_CLK2_PERIPHERAL_FREQMHZ {111.111115} \
  203. CONFIG.PCW_ACT_UART_PERIPHERAL_FREQMHZ {100.000000} \
  204. CONFIG.PCW_ACT_WDT_PERIPHERAL_FREQMHZ {111.111115} \
  205. CONFIG.PCW_APU_CLK_RATIO_ENABLE {6:2:1} \
  206. CONFIG.PCW_APU_PERIPHERAL_FREQMHZ {667} \
  207. CONFIG.PCW_ARMPLL_CTRL_FBDIV {40} \
  208. CONFIG.PCW_CAN_PERIPHERAL_DIVISOR0 {1} \
  209. CONFIG.PCW_CAN_PERIPHERAL_DIVISOR1 {1} \
  210. CONFIG.PCW_CLK0_FREQ {50000000} \
  211. CONFIG.PCW_CLK1_FREQ {10000000} \
  212. CONFIG.PCW_CLK2_FREQ {10000000} \
  213. CONFIG.PCW_CLK3_FREQ {10000000} \
  214. CONFIG.PCW_CPU_CPU_6X4X_MAX_RANGE {667} \
  215. CONFIG.PCW_CPU_CPU_PLL_FREQMHZ {1333.333} \
  216. CONFIG.PCW_CPU_PERIPHERAL_CLKSRC {ARM PLL} \
  217. CONFIG.PCW_CPU_PERIPHERAL_DIVISOR0 {2} \
  218. CONFIG.PCW_CRYSTAL_PERIPHERAL_FREQMHZ {33.333333} \
  219. CONFIG.PCW_DCI_PERIPHERAL_CLKSRC {DDR PLL} \
  220. CONFIG.PCW_DCI_PERIPHERAL_DIVISOR0 {15} \
  221. CONFIG.PCW_DCI_PERIPHERAL_DIVISOR1 {7} \
  222. CONFIG.PCW_DCI_PERIPHERAL_FREQMHZ {10.159} \
  223. CONFIG.PCW_DDRPLL_CTRL_FBDIV {32} \
  224. CONFIG.PCW_DDR_DDR_PLL_FREQMHZ {1066.667} \
  225. CONFIG.PCW_DDR_HPRLPR_QUEUE_PARTITION {HPR/LPR} \
  226. CONFIG.PCW_DDR_HPR_TO_CRITICAL_PRIORITY_LEVEL {15} \
  227. CONFIG.PCW_DDR_LPR_TO_CRITICAL_PRIORITY_LEVEL {2} \
  228. CONFIG.PCW_DDR_PERIPHERAL_CLKSRC {DDR PLL} \
  229. CONFIG.PCW_DDR_PERIPHERAL_DIVISOR0 {2} \
  230. CONFIG.PCW_DDR_PORT0_HPR_ENABLE {0} \
  231. CONFIG.PCW_DDR_PORT1_HPR_ENABLE {0} \
  232. CONFIG.PCW_DDR_PORT2_HPR_ENABLE {0} \
  233. CONFIG.PCW_DDR_PORT3_HPR_ENABLE {0} \
  234. CONFIG.PCW_DDR_RAM_HIGHADDR {0x3FFFFFFF} \
  235. CONFIG.PCW_DDR_WRITE_TO_CRITICAL_PRIORITY_LEVEL {2} \
  236. CONFIG.PCW_ENET0_ENET0_IO {MIO 16 .. 27} \
  237. CONFIG.PCW_ENET0_GRP_MDIO_ENABLE {1} \
  238. CONFIG.PCW_ENET0_GRP_MDIO_IO {MIO 52 .. 53} \
  239. CONFIG.PCW_ENET0_PERIPHERAL_CLKSRC {IO PLL} \
  240. CONFIG.PCW_ENET0_PERIPHERAL_DIVISOR0 {8} \
  241. CONFIG.PCW_ENET0_PERIPHERAL_DIVISOR1 {1} \
  242. CONFIG.PCW_ENET0_PERIPHERAL_ENABLE {1} \
  243. CONFIG.PCW_ENET0_PERIPHERAL_FREQMHZ {1000 Mbps} \
  244. CONFIG.PCW_ENET0_RESET_ENABLE {0} \
  245. CONFIG.PCW_ENET1_GRP_MDIO_ENABLE {0} \
  246. CONFIG.PCW_ENET1_PERIPHERAL_CLKSRC {IO PLL} \
  247. CONFIG.PCW_ENET1_PERIPHERAL_DIVISOR0 {1} \
  248. CONFIG.PCW_ENET1_PERIPHERAL_DIVISOR1 {1} \
  249. CONFIG.PCW_ENET1_PERIPHERAL_ENABLE {0} \
  250. CONFIG.PCW_ENET1_PERIPHERAL_FREQMHZ {1000 Mbps} \
  251. CONFIG.PCW_ENET1_RESET_ENABLE {0} \
  252. CONFIG.PCW_ENET_RESET_ENABLE {1} \
  253. CONFIG.PCW_ENET_RESET_POLARITY {Active Low} \
  254. CONFIG.PCW_ENET_RESET_SELECT {Share reset pin} \
  255. CONFIG.PCW_EN_4K_TIMER {0} \
  256. CONFIG.PCW_EN_ENET0 {1} \
  257. CONFIG.PCW_EN_GPIO {1} \
  258. CONFIG.PCW_EN_QSPI {1} \
  259. CONFIG.PCW_EN_SDIO0 {1} \
  260. CONFIG.PCW_EN_UART1 {1} \
  261. CONFIG.PCW_EN_USB0 {0} \
  262. CONFIG.PCW_FCLK0_PERIPHERAL_DIVISOR0 {5} \
  263. CONFIG.PCW_FCLK0_PERIPHERAL_DIVISOR1 {4} \
  264. CONFIG.PCW_FCLK1_PERIPHERAL_DIVISOR0 {1} \
  265. CONFIG.PCW_FCLK1_PERIPHERAL_DIVISOR1 {1} \
  266. CONFIG.PCW_FCLK2_PERIPHERAL_DIVISOR0 {1} \
  267. CONFIG.PCW_FCLK2_PERIPHERAL_DIVISOR1 {1} \
  268. CONFIG.PCW_FCLK3_PERIPHERAL_DIVISOR0 {1} \
  269. CONFIG.PCW_FCLK3_PERIPHERAL_DIVISOR1 {1} \
  270. CONFIG.PCW_FPGA_FCLK0_ENABLE {1} \
  271. CONFIG.PCW_FPGA_FCLK1_ENABLE {0} \
  272. CONFIG.PCW_FPGA_FCLK2_ENABLE {0} \
  273. CONFIG.PCW_FPGA_FCLK3_ENABLE {0} \
  274. CONFIG.PCW_GPIO_MIO_GPIO_ENABLE {1} \
  275. CONFIG.PCW_GPIO_MIO_GPIO_IO {MIO} \
  276. CONFIG.PCW_GPIO_PERIPHERAL_ENABLE {0} \
  277. CONFIG.PCW_I2C0_RESET_ENABLE {0} \
  278. CONFIG.PCW_I2C1_RESET_ENABLE {0} \
  279. CONFIG.PCW_I2C_PERIPHERAL_FREQMHZ {25} \
  280. CONFIG.PCW_I2C_RESET_ENABLE {1} \
  281. CONFIG.PCW_IOPLL_CTRL_FBDIV {30} \
  282. CONFIG.PCW_IO_IO_PLL_FREQMHZ {1000.000} \
  283. CONFIG.PCW_IRQ_F2P_MODE {DIRECT} \
  284. CONFIG.PCW_MIO_0_DIRECTION {inout} \
  285. CONFIG.PCW_MIO_0_IOTYPE {LVCMOS 3.3V} \
  286. CONFIG.PCW_MIO_0_PULLUP {enabled} \
  287. CONFIG.PCW_MIO_0_SLEW {slow} \
  288. CONFIG.PCW_MIO_10_DIRECTION {inout} \
  289. CONFIG.PCW_MIO_10_IOTYPE {LVCMOS 3.3V} \
  290. CONFIG.PCW_MIO_10_PULLUP {enabled} \
  291. CONFIG.PCW_MIO_10_SLEW {slow} \
  292. CONFIG.PCW_MIO_11_DIRECTION {inout} \
  293. CONFIG.PCW_MIO_11_IOTYPE {LVCMOS 3.3V} \
  294. CONFIG.PCW_MIO_11_PULLUP {enabled} \
  295. CONFIG.PCW_MIO_11_SLEW {slow} \
  296. CONFIG.PCW_MIO_12_DIRECTION {inout} \
  297. CONFIG.PCW_MIO_12_IOTYPE {LVCMOS 3.3V} \
  298. CONFIG.PCW_MIO_12_PULLUP {enabled} \
  299. CONFIG.PCW_MIO_12_SLEW {slow} \
  300. CONFIG.PCW_MIO_13_DIRECTION {inout} \
  301. CONFIG.PCW_MIO_13_IOTYPE {LVCMOS 3.3V} \
  302. CONFIG.PCW_MIO_13_PULLUP {enabled} \
  303. CONFIG.PCW_MIO_13_SLEW {slow} \
  304. CONFIG.PCW_MIO_14_DIRECTION {inout} \
  305. CONFIG.PCW_MIO_14_IOTYPE {LVCMOS 3.3V} \
  306. CONFIG.PCW_MIO_14_PULLUP {enabled} \
  307. CONFIG.PCW_MIO_14_SLEW {slow} \
  308. CONFIG.PCW_MIO_15_DIRECTION {inout} \
  309. CONFIG.PCW_MIO_15_IOTYPE {LVCMOS 3.3V} \
  310. CONFIG.PCW_MIO_15_PULLUP {enabled} \
  311. CONFIG.PCW_MIO_15_SLEW {slow} \
  312. CONFIG.PCW_MIO_16_DIRECTION {out} \
  313. CONFIG.PCW_MIO_16_IOTYPE {LVCMOS 1.8V} \
  314. CONFIG.PCW_MIO_16_PULLUP {enabled} \
  315. CONFIG.PCW_MIO_16_SLEW {fast} \
  316. CONFIG.PCW_MIO_17_DIRECTION {out} \
  317. CONFIG.PCW_MIO_17_IOTYPE {LVCMOS 1.8V} \
  318. CONFIG.PCW_MIO_17_PULLUP {enabled} \
  319. CONFIG.PCW_MIO_17_SLEW {fast} \
  320. CONFIG.PCW_MIO_18_DIRECTION {out} \
  321. CONFIG.PCW_MIO_18_IOTYPE {LVCMOS 1.8V} \
  322. CONFIG.PCW_MIO_18_PULLUP {enabled} \
  323. CONFIG.PCW_MIO_18_SLEW {fast} \
  324. CONFIG.PCW_MIO_19_DIRECTION {out} \
  325. CONFIG.PCW_MIO_19_IOTYPE {LVCMOS 1.8V} \
  326. CONFIG.PCW_MIO_19_PULLUP {enabled} \
  327. CONFIG.PCW_MIO_19_SLEW {fast} \
  328. CONFIG.PCW_MIO_1_DIRECTION {out} \
  329. CONFIG.PCW_MIO_1_IOTYPE {LVCMOS 3.3V} \
  330. CONFIG.PCW_MIO_1_PULLUP {enabled} \
  331. CONFIG.PCW_MIO_1_SLEW {slow} \
  332. CONFIG.PCW_MIO_20_DIRECTION {out} \
  333. CONFIG.PCW_MIO_20_IOTYPE {LVCMOS 1.8V} \
  334. CONFIG.PCW_MIO_20_PULLUP {enabled} \
  335. CONFIG.PCW_MIO_20_SLEW {fast} \
  336. CONFIG.PCW_MIO_21_DIRECTION {out} \
  337. CONFIG.PCW_MIO_21_IOTYPE {LVCMOS 1.8V} \
  338. CONFIG.PCW_MIO_21_PULLUP {enabled} \
  339. CONFIG.PCW_MIO_21_SLEW {fast} \
  340. CONFIG.PCW_MIO_22_DIRECTION {in} \
  341. CONFIG.PCW_MIO_22_IOTYPE {LVCMOS 1.8V} \
  342. CONFIG.PCW_MIO_22_PULLUP {enabled} \
  343. CONFIG.PCW_MIO_22_SLEW {fast} \
  344. CONFIG.PCW_MIO_23_DIRECTION {in} \
  345. CONFIG.PCW_MIO_23_IOTYPE {LVCMOS 1.8V} \
  346. CONFIG.PCW_MIO_23_PULLUP {enabled} \
  347. CONFIG.PCW_MIO_23_SLEW {fast} \
  348. CONFIG.PCW_MIO_24_DIRECTION {in} \
  349. CONFIG.PCW_MIO_24_IOTYPE {LVCMOS 1.8V} \
  350. CONFIG.PCW_MIO_24_PULLUP {enabled} \
  351. CONFIG.PCW_MIO_24_SLEW {fast} \
  352. CONFIG.PCW_MIO_25_DIRECTION {in} \
  353. CONFIG.PCW_MIO_25_IOTYPE {LVCMOS 1.8V} \
  354. CONFIG.PCW_MIO_25_PULLUP {enabled} \
  355. CONFIG.PCW_MIO_25_SLEW {fast} \
  356. CONFIG.PCW_MIO_26_DIRECTION {in} \
  357. CONFIG.PCW_MIO_26_IOTYPE {LVCMOS 1.8V} \
  358. CONFIG.PCW_MIO_26_PULLUP {enabled} \
  359. CONFIG.PCW_MIO_26_SLEW {fast} \
  360. CONFIG.PCW_MIO_27_DIRECTION {in} \
  361. CONFIG.PCW_MIO_27_IOTYPE {LVCMOS 1.8V} \
  362. CONFIG.PCW_MIO_27_PULLUP {enabled} \
  363. CONFIG.PCW_MIO_27_SLEW {fast} \
  364. CONFIG.PCW_MIO_28_DIRECTION {inout} \
  365. CONFIG.PCW_MIO_28_IOTYPE {LVCMOS 1.8V} \
  366. CONFIG.PCW_MIO_28_PULLUP {enabled} \
  367. CONFIG.PCW_MIO_28_SLEW {fast} \
  368. CONFIG.PCW_MIO_29_DIRECTION {in} \
  369. CONFIG.PCW_MIO_29_IOTYPE {LVCMOS 1.8V} \
  370. CONFIG.PCW_MIO_29_PULLUP {enabled} \
  371. CONFIG.PCW_MIO_29_SLEW {fast} \
  372. CONFIG.PCW_MIO_2_DIRECTION {inout} \
  373. CONFIG.PCW_MIO_2_IOTYPE {LVCMOS 3.3V} \
  374. CONFIG.PCW_MIO_2_PULLUP {disabled} \
  375. CONFIG.PCW_MIO_2_SLEW {slow} \
  376. CONFIG.PCW_MIO_30_DIRECTION {out} \
  377. CONFIG.PCW_MIO_30_IOTYPE {LVCMOS 1.8V} \
  378. CONFIG.PCW_MIO_30_PULLUP {enabled} \
  379. CONFIG.PCW_MIO_30_SLEW {fast} \
  380. CONFIG.PCW_MIO_31_DIRECTION {in} \
  381. CONFIG.PCW_MIO_31_IOTYPE {LVCMOS 1.8V} \
  382. CONFIG.PCW_MIO_31_PULLUP {enabled} \
  383. CONFIG.PCW_MIO_31_SLEW {fast} \
  384. CONFIG.PCW_MIO_32_DIRECTION {inout} \
  385. CONFIG.PCW_MIO_32_IOTYPE {LVCMOS 1.8V} \
  386. CONFIG.PCW_MIO_32_PULLUP {enabled} \
  387. CONFIG.PCW_MIO_32_SLEW {fast} \
  388. CONFIG.PCW_MIO_33_DIRECTION {inout} \
  389. CONFIG.PCW_MIO_33_IOTYPE {LVCMOS 1.8V} \
  390. CONFIG.PCW_MIO_33_PULLUP {enabled} \
  391. CONFIG.PCW_MIO_33_SLEW {fast} \
  392. CONFIG.PCW_MIO_34_DIRECTION {inout} \
  393. CONFIG.PCW_MIO_34_IOTYPE {LVCMOS 1.8V} \
  394. CONFIG.PCW_MIO_34_PULLUP {enabled} \
  395. CONFIG.PCW_MIO_34_SLEW {fast} \
  396. CONFIG.PCW_MIO_35_DIRECTION {inout} \
  397. CONFIG.PCW_MIO_35_IOTYPE {LVCMOS 1.8V} \
  398. CONFIG.PCW_MIO_35_PULLUP {enabled} \
  399. CONFIG.PCW_MIO_35_SLEW {fast} \
  400. CONFIG.PCW_MIO_36_DIRECTION {in} \
  401. CONFIG.PCW_MIO_36_IOTYPE {LVCMOS 1.8V} \
  402. CONFIG.PCW_MIO_36_PULLUP {enabled} \
  403. CONFIG.PCW_MIO_36_SLEW {fast} \
  404. CONFIG.PCW_MIO_37_DIRECTION {inout} \
  405. CONFIG.PCW_MIO_37_IOTYPE {LVCMOS 1.8V} \
  406. CONFIG.PCW_MIO_37_PULLUP {enabled} \
  407. CONFIG.PCW_MIO_37_SLEW {fast} \
  408. CONFIG.PCW_MIO_38_DIRECTION {inout} \
  409. CONFIG.PCW_MIO_38_IOTYPE {LVCMOS 1.8V} \
  410. CONFIG.PCW_MIO_38_PULLUP {enabled} \
  411. CONFIG.PCW_MIO_38_SLEW {fast} \
  412. CONFIG.PCW_MIO_39_DIRECTION {inout} \
  413. CONFIG.PCW_MIO_39_IOTYPE {LVCMOS 1.8V} \
  414. CONFIG.PCW_MIO_39_PULLUP {enabled} \
  415. CONFIG.PCW_MIO_39_SLEW {fast} \
  416. CONFIG.PCW_MIO_3_DIRECTION {inout} \
  417. CONFIG.PCW_MIO_3_IOTYPE {LVCMOS 3.3V} \
  418. CONFIG.PCW_MIO_3_PULLUP {disabled} \
  419. CONFIG.PCW_MIO_3_SLEW {slow} \
  420. CONFIG.PCW_MIO_40_DIRECTION {inout} \
  421. CONFIG.PCW_MIO_40_IOTYPE {LVCMOS 1.8V} \
  422. CONFIG.PCW_MIO_40_PULLUP {enabled} \
  423. CONFIG.PCW_MIO_40_SLEW {slow} \
  424. CONFIG.PCW_MIO_41_DIRECTION {inout} \
  425. CONFIG.PCW_MIO_41_IOTYPE {LVCMOS 1.8V} \
  426. CONFIG.PCW_MIO_41_PULLUP {enabled} \
  427. CONFIG.PCW_MIO_41_SLEW {slow} \
  428. CONFIG.PCW_MIO_42_DIRECTION {inout} \
  429. CONFIG.PCW_MIO_42_IOTYPE {LVCMOS 1.8V} \
  430. CONFIG.PCW_MIO_42_PULLUP {enabled} \
  431. CONFIG.PCW_MIO_42_SLEW {slow} \
  432. CONFIG.PCW_MIO_43_DIRECTION {inout} \
  433. CONFIG.PCW_MIO_43_IOTYPE {LVCMOS 1.8V} \
  434. CONFIG.PCW_MIO_43_PULLUP {enabled} \
  435. CONFIG.PCW_MIO_43_SLEW {slow} \
  436. CONFIG.PCW_MIO_44_DIRECTION {inout} \
  437. CONFIG.PCW_MIO_44_IOTYPE {LVCMOS 1.8V} \
  438. CONFIG.PCW_MIO_44_PULLUP {enabled} \
  439. CONFIG.PCW_MIO_44_SLEW {slow} \
  440. CONFIG.PCW_MIO_45_DIRECTION {inout} \
  441. CONFIG.PCW_MIO_45_IOTYPE {LVCMOS 1.8V} \
  442. CONFIG.PCW_MIO_45_PULLUP {enabled} \
  443. CONFIG.PCW_MIO_45_SLEW {slow} \
  444. CONFIG.PCW_MIO_46_DIRECTION {out} \
  445. CONFIG.PCW_MIO_46_IOTYPE {LVCMOS 1.8V} \
  446. CONFIG.PCW_MIO_46_PULLUP {enabled} \
  447. CONFIG.PCW_MIO_46_SLEW {slow} \
  448. CONFIG.PCW_MIO_47_DIRECTION {in} \
  449. CONFIG.PCW_MIO_47_IOTYPE {LVCMOS 1.8V} \
  450. CONFIG.PCW_MIO_47_PULLUP {enabled} \
  451. CONFIG.PCW_MIO_47_SLEW {slow} \
  452. CONFIG.PCW_MIO_48_DIRECTION {out} \
  453. CONFIG.PCW_MIO_48_IOTYPE {LVCMOS 1.8V} \
  454. CONFIG.PCW_MIO_48_PULLUP {enabled} \
  455. CONFIG.PCW_MIO_48_SLEW {slow} \
  456. CONFIG.PCW_MIO_49_DIRECTION {in} \
  457. CONFIG.PCW_MIO_49_IOTYPE {LVCMOS 1.8V} \
  458. CONFIG.PCW_MIO_49_PULLUP {enabled} \
  459. CONFIG.PCW_MIO_49_SLEW {slow} \
  460. CONFIG.PCW_MIO_4_DIRECTION {inout} \
  461. CONFIG.PCW_MIO_4_IOTYPE {LVCMOS 3.3V} \
  462. CONFIG.PCW_MIO_4_PULLUP {disabled} \
  463. CONFIG.PCW_MIO_4_SLEW {slow} \
  464. CONFIG.PCW_MIO_50_DIRECTION {inout} \
  465. CONFIG.PCW_MIO_50_IOTYPE {LVCMOS 1.8V} \
  466. CONFIG.PCW_MIO_50_PULLUP {enabled} \
  467. CONFIG.PCW_MIO_50_SLEW {slow} \
  468. CONFIG.PCW_MIO_51_DIRECTION {inout} \
  469. CONFIG.PCW_MIO_51_IOTYPE {LVCMOS 1.8V} \
  470. CONFIG.PCW_MIO_51_PULLUP {enabled} \
  471. CONFIG.PCW_MIO_51_SLEW {slow} \
  472. CONFIG.PCW_MIO_52_DIRECTION {out} \
  473. CONFIG.PCW_MIO_52_IOTYPE {LVCMOS 1.8V} \
  474. CONFIG.PCW_MIO_52_PULLUP {enabled} \
  475. CONFIG.PCW_MIO_52_SLEW {slow} \
  476. CONFIG.PCW_MIO_53_DIRECTION {inout} \
  477. CONFIG.PCW_MIO_53_IOTYPE {LVCMOS 1.8V} \
  478. CONFIG.PCW_MIO_53_PULLUP {enabled} \
  479. CONFIG.PCW_MIO_53_SLEW {slow} \
  480. CONFIG.PCW_MIO_5_DIRECTION {inout} \
  481. CONFIG.PCW_MIO_5_IOTYPE {LVCMOS 3.3V} \
  482. CONFIG.PCW_MIO_5_PULLUP {disabled} \
  483. CONFIG.PCW_MIO_5_SLEW {slow} \
  484. CONFIG.PCW_MIO_6_DIRECTION {out} \
  485. CONFIG.PCW_MIO_6_IOTYPE {LVCMOS 3.3V} \
  486. CONFIG.PCW_MIO_6_PULLUP {disabled} \
  487. CONFIG.PCW_MIO_6_SLEW {slow} \
  488. CONFIG.PCW_MIO_7_DIRECTION {out} \
  489. CONFIG.PCW_MIO_7_IOTYPE {LVCMOS 3.3V} \
  490. CONFIG.PCW_MIO_7_PULLUP {disabled} \
  491. CONFIG.PCW_MIO_7_SLEW {slow} \
  492. CONFIG.PCW_MIO_8_DIRECTION {out} \
  493. CONFIG.PCW_MIO_8_IOTYPE {LVCMOS 3.3V} \
  494. CONFIG.PCW_MIO_8_PULLUP {disabled} \
  495. CONFIG.PCW_MIO_8_SLEW {slow} \
  496. CONFIG.PCW_MIO_9_DIRECTION {inout} \
  497. CONFIG.PCW_MIO_9_IOTYPE {LVCMOS 3.3V} \
  498. CONFIG.PCW_MIO_9_PULLUP {enabled} \
  499. CONFIG.PCW_MIO_9_SLEW {slow} \
  500. CONFIG.PCW_MIO_TREE_PERIPHERALS { \
  501. 0#Enet 0 \
  502. 0#Enet 0 \
  503. 0#Enet 0 \
  504. 0#Enet 0 \
  505. 0#Enet 0 \
  506. 0#Enet 0 \
  507. 0#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#SD 0#SD \
  508. 0#GPIO#SD 0#UART \
  509. 0#SD 0#SD \
  510. 0#SD 0#SD \
  511. 1#UART 1#GPIO#GPIO#Enet \
  512. Flash#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#Enet 0#Enet \
  513. Flash#GPIO#Quad SPI \
  514. Flash#Quad SPI \
  515. Flash#Quad SPI \
  516. Flash#Quad SPI \
  517. Flash#Quad SPI \
  518. Flash#Quad SPI \
  519. GPIO#Quad SPI \
  520. } \
  521. CONFIG.PCW_MIO_TREE_SIGNALS {gpio0#qspi0_ss_b#qspi0_io0#qspi0_io1#qspi0_io2#qspi0_io3/HOLD_B#qspi0_sclk#gpio7#qspi_fbclk#gpio9#gpio10#gpio11#gpio12#gpio13#gpio14#gpio15#tx_clk#txd0#txd1#txd2#txd3#tx_ctl#rx_clk#rxd0#rxd1#rxd2#rxd3#rx_ctl#gpio28#gpio29#gpio30#gpio31#gpio32#gpio33#gpio34#gpio35#gpio36#gpio37#gpio38#gpio39#clk#cmd#data0#data1#data2#data3#gpio46#cd#tx#rx#gpio50#gpio51#mdc#mdio} \
  522. CONFIG.PCW_NAND_GRP_D8_ENABLE {0} \
  523. CONFIG.PCW_NAND_PERIPHERAL_ENABLE {0} \
  524. CONFIG.PCW_NOR_GRP_A25_ENABLE {0} \
  525. CONFIG.PCW_NOR_GRP_CS0_ENABLE {0} \
  526. CONFIG.PCW_NOR_GRP_CS1_ENABLE {0} \
  527. CONFIG.PCW_NOR_GRP_SRAM_CS0_ENABLE {0} \
  528. CONFIG.PCW_NOR_GRP_SRAM_CS1_ENABLE {0} \
  529. CONFIG.PCW_NOR_GRP_SRAM_INT_ENABLE {0} \
  530. CONFIG.PCW_NOR_PERIPHERAL_ENABLE {0} \
  531. CONFIG.PCW_OVERRIDE_BASIC_CLOCK {0} \
  532. CONFIG.PCW_PACKAGE_DDR_BOARD_DELAY0 {0.221} \
  533. CONFIG.PCW_PACKAGE_DDR_BOARD_DELAY1 {0.222} \
  534. CONFIG.PCW_PACKAGE_DDR_BOARD_DELAY2 {0.217} \
  535. CONFIG.PCW_PACKAGE_DDR_BOARD_DELAY3 {0.244} \
  536. CONFIG.PCW_PACKAGE_DDR_DQS_TO_CLK_DELAY_0 {-0.050} \
  537. CONFIG.PCW_PACKAGE_DDR_DQS_TO_CLK_DELAY_1 {-0.044} \
  538. CONFIG.PCW_PACKAGE_DDR_DQS_TO_CLK_DELAY_2 {-0.035} \
  539. CONFIG.PCW_PACKAGE_DDR_DQS_TO_CLK_DELAY_3 {-0.100} \
  540. CONFIG.PCW_PCAP_PERIPHERAL_CLKSRC {IO PLL} \
  541. CONFIG.PCW_PCAP_PERIPHERAL_DIVISOR0 {5} \
  542. CONFIG.PCW_PCAP_PERIPHERAL_FREQMHZ {200} \
  543. CONFIG.PCW_PJTAG_PERIPHERAL_ENABLE {0} \
  544. CONFIG.PCW_PLL_BYPASSMODE_ENABLE {0} \
  545. CONFIG.PCW_PRESET_BANK0_VOLTAGE {LVCMOS 3.3V} \
  546. CONFIG.PCW_PRESET_BANK1_VOLTAGE {LVCMOS 1.8V} \
  547. CONFIG.PCW_QSPI_GRP_FBCLK_ENABLE {1} \
  548. CONFIG.PCW_QSPI_GRP_FBCLK_IO {MIO 8} \
  549. CONFIG.PCW_QSPI_GRP_IO1_ENABLE {0} \
  550. CONFIG.PCW_QSPI_GRP_SINGLE_SS_ENABLE {1} \
  551. CONFIG.PCW_QSPI_GRP_SINGLE_SS_IO {MIO 1 .. 6} \
  552. CONFIG.PCW_QSPI_GRP_SS1_ENABLE {0} \
  553. CONFIG.PCW_QSPI_INTERNAL_HIGHADDRESS {0xFCFFFFFF} \
  554. CONFIG.PCW_QSPI_PERIPHERAL_CLKSRC {IO PLL} \
  555. CONFIG.PCW_QSPI_PERIPHERAL_DIVISOR0 {5} \
  556. CONFIG.PCW_QSPI_PERIPHERAL_ENABLE {1} \
  557. CONFIG.PCW_QSPI_PERIPHERAL_FREQMHZ {200} \
  558. CONFIG.PCW_QSPI_QSPI_IO {MIO 1 .. 6} \
  559. CONFIG.PCW_SD0_GRP_CD_ENABLE {1} \
  560. CONFIG.PCW_SD0_GRP_CD_IO {MIO 47} \
  561. CONFIG.PCW_SD0_GRP_POW_ENABLE {0} \
  562. CONFIG.PCW_SD0_GRP_WP_ENABLE {0} \
  563. CONFIG.PCW_SD0_PERIPHERAL_ENABLE {1} \
  564. CONFIG.PCW_SD0_SD0_IO {MIO 40 .. 45} \
  565. CONFIG.PCW_SDIO_PERIPHERAL_CLKSRC {IO PLL} \
  566. CONFIG.PCW_SDIO_PERIPHERAL_DIVISOR0 {20} \
  567. CONFIG.PCW_SDIO_PERIPHERAL_FREQMHZ {50} \
  568. CONFIG.PCW_SDIO_PERIPHERAL_VALID {1} \
  569. CONFIG.PCW_SINGLE_QSPI_DATA_MODE {x4} \
  570. CONFIG.PCW_SMC_PERIPHERAL_CLKSRC {IO PLL} \
  571. CONFIG.PCW_SMC_PERIPHERAL_DIVISOR0 {1} \
  572. CONFIG.PCW_SMC_PERIPHERAL_FREQMHZ {100} \
  573. CONFIG.PCW_SPI_PERIPHERAL_DIVISOR0 {1} \
  574. CONFIG.PCW_TPIU_PERIPHERAL_CLKSRC {External} \
  575. CONFIG.PCW_TPIU_PERIPHERAL_DIVISOR0 {1} \
  576. CONFIG.PCW_TPIU_PERIPHERAL_FREQMHZ {200} \
  577. CONFIG.PCW_UART0_GRP_FULL_ENABLE {0} \
  578. CONFIG.PCW_UART0_PERIPHERAL_ENABLE {0} \
  579. CONFIG.PCW_UART1_BAUD_RATE {115200} \
  580. CONFIG.PCW_UART1_GRP_FULL_ENABLE {0} \
  581. CONFIG.PCW_UART1_PERIPHERAL_ENABLE {1} \
  582. CONFIG.PCW_UART1_UART1_IO {MIO 48 .. 49} \
  583. CONFIG.PCW_UART_PERIPHERAL_CLKSRC {IO PLL} \
  584. CONFIG.PCW_UART_PERIPHERAL_DIVISOR0 {10} \
  585. CONFIG.PCW_UART_PERIPHERAL_FREQMHZ {100} \
  586. CONFIG.PCW_UART_PERIPHERAL_VALID {1} \
  587. CONFIG.PCW_UIPARAM_ACT_DDR_FREQ_MHZ {533.333374} \
  588. CONFIG.PCW_UIPARAM_DDR_ADV_ENABLE {0} \
  589. CONFIG.PCW_UIPARAM_DDR_AL {0} \
  590. CONFIG.PCW_UIPARAM_DDR_BANK_ADDR_COUNT {3} \
  591. CONFIG.PCW_UIPARAM_DDR_BL {8} \
  592. CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY0 {0.221} \
  593. CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY1 {0.222} \
  594. CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY2 {0.217} \
  595. CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY3 {0.244} \
  596. CONFIG.PCW_UIPARAM_DDR_BUS_WIDTH {32 Bit} \
  597. CONFIG.PCW_UIPARAM_DDR_CL {7} \
  598. CONFIG.PCW_UIPARAM_DDR_CLOCK_0_LENGTH_MM {18.8} \
  599. CONFIG.PCW_UIPARAM_DDR_CLOCK_0_PACKAGE_LENGTH {80.4535} \
  600. CONFIG.PCW_UIPARAM_DDR_CLOCK_0_PROPOGATION_DELAY {160} \
  601. CONFIG.PCW_UIPARAM_DDR_CLOCK_1_LENGTH_MM {18.8} \
  602. CONFIG.PCW_UIPARAM_DDR_CLOCK_1_PACKAGE_LENGTH {80.4535} \
  603. CONFIG.PCW_UIPARAM_DDR_CLOCK_1_PROPOGATION_DELAY {160} \
  604. CONFIG.PCW_UIPARAM_DDR_CLOCK_2_LENGTH_MM {18.8} \
  605. CONFIG.PCW_UIPARAM_DDR_CLOCK_2_PACKAGE_LENGTH {80.4535} \
  606. CONFIG.PCW_UIPARAM_DDR_CLOCK_2_PROPOGATION_DELAY {160} \
  607. CONFIG.PCW_UIPARAM_DDR_CLOCK_3_LENGTH_MM {18.8} \
  608. CONFIG.PCW_UIPARAM_DDR_CLOCK_3_PACKAGE_LENGTH {80.4535} \
  609. CONFIG.PCW_UIPARAM_DDR_CLOCK_3_PROPOGATION_DELAY {160} \
  610. CONFIG.PCW_UIPARAM_DDR_CLOCK_STOP_EN {0} \
  611. CONFIG.PCW_UIPARAM_DDR_COL_ADDR_COUNT {10} \
  612. CONFIG.PCW_UIPARAM_DDR_CWL {6} \
  613. CONFIG.PCW_UIPARAM_DDR_DEVICE_CAPACITY {4096 MBits} \
  614. CONFIG.PCW_UIPARAM_DDR_DQS_0_LENGTH_MM {22.8} \
  615. CONFIG.PCW_UIPARAM_DDR_DQS_0_PACKAGE_LENGTH {105.056} \
  616. CONFIG.PCW_UIPARAM_DDR_DQS_0_PROPOGATION_DELAY {160} \
  617. CONFIG.PCW_UIPARAM_DDR_DQS_1_LENGTH_MM {27.9} \
  618. CONFIG.PCW_UIPARAM_DDR_DQS_1_PACKAGE_LENGTH {66.904} \
  619. CONFIG.PCW_UIPARAM_DDR_DQS_1_PROPOGATION_DELAY {160} \
  620. CONFIG.PCW_UIPARAM_DDR_DQS_2_LENGTH_MM {22.9} \
  621. CONFIG.PCW_UIPARAM_DDR_DQS_2_PACKAGE_LENGTH {89.1715} \
  622. CONFIG.PCW_UIPARAM_DDR_DQS_2_PROPOGATION_DELAY {160} \
  623. CONFIG.PCW_UIPARAM_DDR_DQS_3_LENGTH_MM {29.4} \
  624. CONFIG.PCW_UIPARAM_DDR_DQS_3_PACKAGE_LENGTH {113.63} \
  625. CONFIG.PCW_UIPARAM_DDR_DQS_3_PROPOGATION_DELAY {160} \
  626. CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 {-0.050} \
  627. CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 {-0.044} \
  628. CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 {-0.035} \
  629. CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 {-0.100} \
  630. CONFIG.PCW_UIPARAM_DDR_DQ_0_LENGTH_MM {22.8} \
  631. CONFIG.PCW_UIPARAM_DDR_DQ_0_PACKAGE_LENGTH {98.503} \
  632. CONFIG.PCW_UIPARAM_DDR_DQ_0_PROPOGATION_DELAY {160} \
  633. CONFIG.PCW_UIPARAM_DDR_DQ_1_LENGTH_MM {27.9} \
  634. CONFIG.PCW_UIPARAM_DDR_DQ_1_PACKAGE_LENGTH {68.5855} \
  635. CONFIG.PCW_UIPARAM_DDR_DQ_1_PROPOGATION_DELAY {160} \
  636. CONFIG.PCW_UIPARAM_DDR_DQ_2_LENGTH_MM {22.9} \
  637. CONFIG.PCW_UIPARAM_DDR_DQ_2_PACKAGE_LENGTH {90.295} \
  638. CONFIG.PCW_UIPARAM_DDR_DQ_2_PROPOGATION_DELAY {160} \
  639. CONFIG.PCW_UIPARAM_DDR_DQ_3_LENGTH_MM {29.4} \
  640. CONFIG.PCW_UIPARAM_DDR_DQ_3_PACKAGE_LENGTH {103.977} \
  641. CONFIG.PCW_UIPARAM_DDR_DQ_3_PROPOGATION_DELAY {160} \
  642. CONFIG.PCW_UIPARAM_DDR_DRAM_WIDTH {16 Bits} \
  643. CONFIG.PCW_UIPARAM_DDR_ECC {Disabled} \
  644. CONFIG.PCW_UIPARAM_DDR_ENABLE {1} \
  645. CONFIG.PCW_UIPARAM_DDR_FREQ_MHZ {533.333333} \
  646. CONFIG.PCW_UIPARAM_DDR_HIGH_TEMP {Normal (0-85)} \
  647. CONFIG.PCW_UIPARAM_DDR_MEMORY_TYPE {DDR 3 (Low Voltage)} \
  648. CONFIG.PCW_UIPARAM_DDR_PARTNO {MT41K256M16 RE-125} \
  649. CONFIG.PCW_UIPARAM_DDR_ROW_ADDR_COUNT {15} \
  650. CONFIG.PCW_UIPARAM_DDR_SPEED_BIN {DDR3_1066F} \
  651. CONFIG.PCW_UIPARAM_DDR_TRAIN_DATA_EYE {1} \
  652. CONFIG.PCW_UIPARAM_DDR_TRAIN_READ_GATE {1} \
  653. CONFIG.PCW_UIPARAM_DDR_TRAIN_WRITE_LEVEL {1} \
  654. CONFIG.PCW_UIPARAM_DDR_T_FAW {40.0} \
  655. CONFIG.PCW_UIPARAM_DDR_T_RAS_MIN {35.0} \
  656. CONFIG.PCW_UIPARAM_DDR_T_RC {48.75} \
  657. CONFIG.PCW_UIPARAM_DDR_T_RCD {7} \
  658. CONFIG.PCW_UIPARAM_DDR_T_RP {7} \
  659. CONFIG.PCW_UIPARAM_DDR_USE_INTERNAL_VREF {0} \
  660. CONFIG.PCW_USB0_PERIPHERAL_ENABLE {0} \
  661. CONFIG.PCW_USB0_PERIPHERAL_FREQMHZ {60} \
  662. CONFIG.PCW_USB0_RESET_ENABLE {1} \
  663. CONFIG.PCW_USB0_RESET_IO {MIO 46} \
  664. CONFIG.PCW_USB0_USB0_IO {<Select>} \
  665. CONFIG.PCW_USB1_RESET_ENABLE {0} \
  666. CONFIG.PCW_USB_RESET_ENABLE {1} \
  667. CONFIG.PCW_USB_RESET_POLARITY {Active Low} \
  668. CONFIG.PCW_USB_RESET_SELECT {<Select>} \
  669. CONFIG.PCW_USE_AXI_NONSECURE {0} \
  670. CONFIG.PCW_USE_CROSS_TRIGGER {0} \
  671. CONFIG.PCW_USE_M_AXI_GP0 {1} \
  672. ] $processing_system7_0
  673. # Create instance: ps7_0_axi_periph, and set properties
  674. set ps7_0_axi_periph [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 ps7_0_axi_periph ]
  675. set_property -dict [ list \
  676. CONFIG.NUM_MI {1} \
  677. ] $ps7_0_axi_periph
  678. # Create instance: rst_ps7_0_50M, and set properties
  679. set rst_ps7_0_50M [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 rst_ps7_0_50M ]
  680. # Create instance: xadc_wiz_0, and set properties
  681. set xadc_wiz_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xadc_wiz:3.3 xadc_wiz_0 ]
  682. set_property -dict [ list \
  683. CONFIG.ADC_OFFSET_AND_GAIN_CALIBRATION {false} \
  684. CONFIG.CHANNEL_ENABLE_VAUXP14_VAUXN14 {true} \
  685. CONFIG.CHANNEL_ENABLE_VAUXP15_VAUXN15 {true} \
  686. CONFIG.CHANNEL_ENABLE_VAUXP6_VAUXN6 {true} \
  687. CONFIG.CHANNEL_ENABLE_VAUXP7_VAUXN7 {true} \
  688. CONFIG.CHANNEL_ENABLE_VP_VN {false} \
  689. CONFIG.ENABLE_CALIBRATION_AVERAGING {false} \
  690. CONFIG.ENABLE_VCCDDRO_ALARM {false} \
  691. CONFIG.ENABLE_VCCPAUX_ALARM {false} \
  692. CONFIG.ENABLE_VCCPINT_ALARM {false} \
  693. CONFIG.EXTERNAL_MUX_CHANNEL {VP_VN} \
  694. CONFIG.OT_ALARM {false} \
  695. CONFIG.SENSOR_OFFSET_AND_GAIN_CALIBRATION {false} \
  696. CONFIG.SEQUENCER_MODE {Continuous} \
  697. CONFIG.SINGLE_CHANNEL_SELECTION {TEMPERATURE} \
  698. CONFIG.USER_TEMP_ALARM {false} \
  699. CONFIG.VCCAUX_ALARM {false} \
  700. CONFIG.VCCINT_ALARM {false} \
  701. CONFIG.XADC_STARUP_SELECTION {channel_sequencer} \
  702. ] $xadc_wiz_0
  703. # Create interface connections
  704. connect_bd_intf_net -intf_net Vaux14_1 [get_bd_intf_ports Vaux14] [get_bd_intf_pins xadc_wiz_0/Vaux14]
  705. connect_bd_intf_net -intf_net Vaux15_1 [get_bd_intf_ports Vaux15] [get_bd_intf_pins xadc_wiz_0/Vaux15]
  706. connect_bd_intf_net -intf_net Vaux6_1 [get_bd_intf_ports Vaux6] [get_bd_intf_pins xadc_wiz_0/Vaux6]
  707. connect_bd_intf_net -intf_net Vaux7_1 [get_bd_intf_ports Vaux7] [get_bd_intf_pins xadc_wiz_0/Vaux7]
  708. connect_bd_intf_net -intf_net processing_system7_0_DDR [get_bd_intf_ports DDR] [get_bd_intf_pins processing_system7_0/DDR]
  709. connect_bd_intf_net -intf_net processing_system7_0_FIXED_IO [get_bd_intf_ports FIXED_IO] [get_bd_intf_pins processing_system7_0/FIXED_IO]
  710. connect_bd_intf_net -intf_net processing_system7_0_M_AXI_GP0 [get_bd_intf_pins processing_system7_0/M_AXI_GP0] [get_bd_intf_pins ps7_0_axi_periph/S00_AXI]
  711. connect_bd_intf_net -intf_net ps7_0_axi_periph_M00_AXI [get_bd_intf_pins ps7_0_axi_periph/M00_AXI] [get_bd_intf_pins xadc_wiz_0/s_axi_lite]
  712. # Create port connections
  713. connect_bd_net -net processing_system7_0_FCLK_CLK0 [get_bd_pins processing_system7_0/FCLK_CLK0] [get_bd_pins processing_system7_0/M_AXI_GP0_ACLK] [get_bd_pins ps7_0_axi_periph/ACLK] [get_bd_pins ps7_0_axi_periph/M00_ACLK] [get_bd_pins ps7_0_axi_periph/S00_ACLK] [get_bd_pins rst_ps7_0_50M/slowest_sync_clk] [get_bd_pins xadc_wiz_0/s_axi_aclk]
  714. connect_bd_net -net processing_system7_0_FCLK_RESET0_N [get_bd_pins processing_system7_0/FCLK_RESET0_N] [get_bd_pins rst_ps7_0_50M/ext_reset_in]
  715. connect_bd_net -net rst_ps7_0_50M_peripheral_aresetn [get_bd_pins ps7_0_axi_periph/ARESETN] [get_bd_pins ps7_0_axi_periph/M00_ARESETN] [get_bd_pins ps7_0_axi_periph/S00_ARESETN] [get_bd_pins rst_ps7_0_50M/peripheral_aresetn] [get_bd_pins xadc_wiz_0/s_axi_aresetn]
  716. # Create address segments
  717. assign_bd_address -offset 0x43C00000 -range 0x00010000 -target_address_space [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs xadc_wiz_0/s_axi_lite/Reg] -force
  718. # Perform GUI Layout
  719. regenerate_bd_layout -layout_string {
  720. "ActiveEmotionalView":"Default View",
  721. "Default View_ScaleFactor":"0.951852",
  722. "Default View_TopLeft":"-139,30",
  723. "ExpandedHierarchyInLayout":"",
  724. "guistr":"# # String gsaved with Nlview 7.0r6 2020-01-29 bk=1.5227 VDI=41 GEI=36 GUI=JA:10.0 non-TLS
  725. # -string -flagsOSRD
  726. preplace port DDR -pg 1 -lvl 4 -x 1080 -y 60 -defaultsOSRD
  727. preplace port FIXED_IO -pg 1 -lvl 4 -x 1080 -y 80 -defaultsOSRD
  728. preplace port Vaux6 -pg 1 -lvl 0 -x -10 -y 210 -defaultsOSRD
  729. preplace port Vaux7 -pg 1 -lvl 0 -x -10 -y 420 -defaultsOSRD
  730. preplace port Vaux14 -pg 1 -lvl 0 -x -10 -y 470 -defaultsOSRD
  731. preplace port Vaux15 -pg 1 -lvl 0 -x -10 -y 490 -defaultsOSRD
  732. preplace inst processing_system7_0 -pg 1 -lvl 1 -x 230 -y 100 -defaultsOSRD
  733. preplace inst xadc_wiz_0 -pg 1 -lvl 3 -x 930 -y 410 -defaultsOSRD
  734. preplace inst ps7_0_axi_periph -pg 1 -lvl 2 -x 610 -y 340 -defaultsOSRD
  735. preplace inst rst_ps7_0_50M -pg 1 -lvl 1 -x 230 -y 320 -defaultsOSRD
  736. preplace netloc processing_system7_0_FCLK_CLK0 1 0 3 20 430 440 480 790
  737. preplace netloc processing_system7_0_FCLK_RESET0_N 1 0 2 30 420 430
  738. preplace netloc rst_ps7_0_50M_peripheral_aresetn 1 1 2 450 500 800J
  739. preplace netloc processing_system7_0_DDR 1 1 3 NJ 60 NJ 60 NJ
  740. preplace netloc processing_system7_0_FIXED_IO 1 1 3 NJ 80 NJ 80 NJ
  741. preplace netloc processing_system7_0_M_AXI_GP0 1 1 1 450 100n
  742. preplace netloc ps7_0_axi_periph_M00_AXI 1 2 1 N 340
  743. preplace netloc Vaux6_1 1 0 3 NJ 210 NJ 210 760J
  744. preplace netloc Vaux7_1 1 0 3 10J 460 NJ 460 760J
  745. preplace netloc Vaux14_1 1 0 3 NJ 470 NJ 470 770J
  746. preplace netloc Vaux15_1 1 0 3 NJ 490 NJ 490 780J
  747. levelinfo -pg 1 -10 230 610 930 1080
  748. pagesize -pg 1 -db -bbox -sgen -110 0 1200 540
  749. "
  750. }
  751. # Restore current instance
  752. current_bd_instance $oldCurInst
  753. validate_bd_design
  754. save_bd_design
  755. close_bd_design $design_name
  756. }
  757. cr_bd_design_1 ""
    INFO: [BD::TCL 103-2010] Currently there is no design <design_1> in project, so creating one...
    Wrote : <C:\aaa\aaa\adc_demo\adc_demo.srcs\sources_1\bd\design_1\design_1.bd>
    create_bd_design: Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 1140.660 ; gain = 0.000
    INFO: [BD::TCL 103-2011] Checking if the following IPs exist in the project's IP catalog:
    xilinx.com:ip:processing_system7:5.5 xilinx.com:ip:proc_sys_reset:5.0 xilinx.com:ip:xadc_wiz:3.3 .
    WARNING: [IP_Flow 19-3331] Invalid parameters found in dependency constraints of 'ADDRBLOCK_RANGE.S_AXI_ACP.ACP_QSPI_LINEAR'
    WARNING: [IP_Flow 19-3331] Invalid parameters found in dependency constraints of 'ADDRBLOCK_RANGE.S_AXI_ACP.ACP_QSPI_LINEAR'
    WARNING: [IP_Flow 19-3553] Error found in parameter dependencies in XGUI files.
    ERROR: [IP_Flow 19-3428] Failed to create Customization object design_1_processing_system7_0_0
    CRITICAL WARNING: [IP_Flow 19-5622] Failed to create IP instance 'design_1_processing_system7_0_0'. Failed to customize IP instance 'design_1_processing_system7_0_0'. Failed to load customization data
    ERROR: [BD 41-1712] Create IP failed with errors
    ERROR: [BD 5-7] Error: running create_bd_cell -vlnv xilinx.com:ip:processing_system7:5.5 -type ip -name processing_system7_0 .
    ERROR: [Common 17-39] 'create_bd_cell' failed due to earlier errors.
while executing
"create_bd_cell -type ip -vlnv xilinx.com:ip:processing_system7:5.5 processing_system7_0 "
(procedure "cr_bd_design_1" line 85)
invoked from within
"cr_bd_design_1 """
(file "zybo7z20_adc_demo.tcl" line 885)
update_compile_order -fileset sources_1
set_property source_mgmt_mode DisplayOnly [current_project]

Replies (7)

RE: Errors when generating .xsa file (adc_demo project) - Added by Shawn Sebastian Pulle (ฌอน) about 1 year ago

Hi Johan,

Thank you for the feedback.

I see one particular message mentioning that the constraint file is not available. Did you go through the process of downloading and copying the constraint files/board files to your vivado installation?
We have mentioned this under step 12 of the prerequisite section of the user guide.

Step 12: Once the installation is finish successfully. Install Digilent's Board Files to add Zybo Z7-20 board to the Vivado design suite

https://digilent.com/reference/programmable-logic/guides/installing-vivado-and-vitis#install_digilent_s_board_files

If this is not the first tcl file from a demo you have attempted to run, then it is likely not a board file issue.

Please confirm that the board files are available in the location expected by vivado before we proceed further.

Best Regards,
Shawn

RE: Errors when generating .xsa file (adc_demo project) - Added by Shawn Sebastian Pulle (ฌอน) about 1 year ago

Hi Johan,

It is much more likely that the board part file versions are not compatible.

issued the command: get_board_parts zybo
result: digilentinc.com:zybo-z7-10:part0:1.1 digilentinc.com:zybo-z7-20:part0:1.1 digilentinc.com:zybo:part0:1.0 digilentinc.com:zybo:part0:2.0

It looks like you have zybo-z7-20:part0:1.1 installed. During the implementation and testing of the blockset only version 1.0 of these files were available.

There are 2 possible solutions for this.

1) Go to line 135 of the zybo7z20_adc_demo.tcl file and change the version to 1.1. (we have not tested this extensively. So we recommend the second solution instead. Plus you would have to edit every tcl script for other demos this way if you intend to run them.)
2) download and install the older part files (no need to edit the tcl file for this solution)

Please let us know your findings.

Best Regards,
Shawn

RE: Errors when generating .xsa file (adc_demo project) - Added by Johan Henning about 1 year ago

Hello Shawn,

Thanks for helping with this issue. I installed the older board files but now I ran into other errors. Can you have a look into it, please?

This is my directory:
pwd
C:/AimaginProjects/Z7000/adc_demo/hardware_design/vivado_tcl

This is what resides in it:
ls
adc_demo
readme.txt
xdc
zybo7z20_adc_demo.tcl

These are the zybo boards in Vivado:
get_board_parts zy
digilentinc.com:zybo-z7-10:part0:1.1 digilentinc.com:zybo-z7-20:part0:1.0 digilentinc.com:zybo:part0:1.0 digilentinc.com:zybo:part0:2.0

And this happens when I try to build the hardware:
source zybo7z20_adc_demo.tcl
  1. proc checkRequiredFiles { origin_dir} {
  2. set status true
  3. set files [list \
  4. "xdc/Zybo-Z7-Master.xdc" \
  5. ]
  6. foreach ifile $files {
  7. if { ![file isfile $ifile] } {
  8. puts " Could not find local file $ifile "
  9. set status false
  10. }
  11. }
  12. return $status
  13. }
  14. set origin_dir "."
  15. if { [info exists ::origin_dir_loc] } {
  16. set origin_dir $::origin_dir_loc
  17. }
  18. set xil_proj_name "adc_demo"
  19. if { [info exists ::user_project_name] } {
  20. set xil_proj_name $::user_project_name
  21. }
  22. variable script_file
  23. set script_file "zybo7z20_adc_demo.tcl"
  24. proc print_help {} {
  25. variable script_file
  26. puts "\nDescription:"
  27. puts "Recreate a Vivado project from this script. The created project will be"
  28. puts "functionally equivalent to the original project for which this script was"
  29. puts "generated. The script contains commands for creating a project, filesets,"
  30. puts "runs, adding/importing sources and setting properties on various objects.\n"
  31. puts "Syntax:"
  32. puts "$script_file"
  33. puts "$script_file -tclargs \[--origin_dir <path>\]"
  34. puts "$script_file -tclargs \[--project_name <name>\]"
  35. puts "$script_file -tclargs \[--help\]\n"
  36. puts "Usage:"
  37. puts "Name Description"
  38. puts "-------------------------------------------------------------------------"
  39. puts "\[--origin_dir <path>\] Determine source file paths wrt this path. Default"
  40. puts " origin_dir path value is \".\", otherwise, the value"
  41. puts " that was set with the \"-paths_relative_to\" switch"
  42. puts " when this script was generated.\n"
  43. puts "\[--project_name <name>\] Create project with the specified name. Default"
  44. puts " name is the name of the project from where this"
  45. puts " script was generated.\n"
  46. puts "\[--help\] Print help information for this script"
  47. puts "-------------------------------------------------------------------------\n"
  48. exit 0
  49. }
  50. if { $::argc > 0 } {
  51. for {set i 0} {$i < $::argc} {incr i} {
  52. set option [string trim [lindex $::argv $i]]
  53. switch regexp - $option {
  54. "--origin_dir" { incr i; set origin_dir [lindex $::argv $i] }
  55. "--project_name" { incr i; set xil_proj_name [lindex $::argv $i] }
  56. "--help" { print_help }
  57. default {
  58. if { [regexp {^-} $option] } {
  59. puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n"
  60. return 1
  61. }
  62. }
  63. }
  64. }
  65. }
  66. set orig_proj_dir "[file normalize "$origin_dir/adc_demo"]"
  67. set validate_required 0
  68. if { $validate_required } {
  69. if { [checkRequiredFiles $origin_dir] } {
  70. puts "Tcl file $script_file is valid. All files required for project creation is accesable. "
  71. } else {
  72. puts "Tcl file $script_file is not valid. Not all files required for project creation is accesable. "
  73. return
  74. }
  75. }
  76. create_project ${_xil_proj_name_} ./${_xil_proj_name_} -part xc7z020clg400-1
    INFO: [IP_Flow 19-234] Refreshing IP repositories
    INFO: [IP_Flow 19-1704] No user IP repositories specified
    INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2020.2/data/ip'.
  77. set proj_dir [get_property directory [current_project]]
  78. set obj [current_project]
  79. set_property -name "board_part" -value "digilentinc.com:zybo-z7-20:part0:1.0" -objects $obj
  80. set_property -name "default_lib" -value "xil_defaultlib" -objects $obj
  81. set_property -name "enable_vhdl_2008" -value "1" -objects $obj
  82. set_property -name "ip_cache_permissions" -value "read write" -objects $obj
  83. set_property -name "ip_output_repo" -value "$proj_dir/${_xil_proj_name_}.cache/ip" -objects $obj
  84. set_property -name "mem.enable_memory_map_generation" -value "1" -objects $obj
  85. set_property -name "platform.board_id" -value "zybo-z7-20" -objects $obj
  86. set_property -name "sim.central_dir" -value "$proj_dir/${_xil_proj_name_}.ip_user_files" -objects $obj
  87. set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj
  88. set_property -name "simulator_language" -value "Mixed" -objects $obj
  89. set_property -name "xpm_libraries" -value "XPM_CDC XPM_MEMORY" -objects $obj
  90. if {[string equal [get_filesets -quiet sources_1] ""]} {
  91. create_fileset -srcset sources_1
  92. }
  93. set obj [get_filesets sources_1]
  94. set obj [get_filesets sources_1]
  95. set_property -name "top" -value "design_1_wrapper" -objects $obj
  96. if {[string equal [get_filesets -quiet constrs_1] ""]} {
  97. create_fileset -constrset constrs_1
  98. }
  99. set obj [get_filesets constrs_1]
  100. set file "[file normalize "xdc/Zybo-Z7-Master.xdc"]"
  101. set file_imported [import_files -fileset constrs_1 [list $file]]
  102. set file "xdc/Zybo-Z7-Master.xdc"
  103. set file_obj [get_files -of_objects [get_filesets constrs_1] [list "*$file"]]
  104. set_property -name "file_type" -value "XDC" -objects $file_obj
  105. set obj [get_filesets constrs_1]
    WARNING: [Vivado 12-818] No files matched 'xdc/Zybo-Z7-Master.xdc'
  106. set_property -name "target_constrs_file" -value "[get_files xdc/Zybo-Z7-Master.xdc]" -objects $obj
    WARNING: [Vivado 12-818] No files matched 'xdc/Zybo-Z7-Master.xdc'
  107. set_property -name "target_ucf" -value "[get_files xdc/Zybo-Z7-Master.xdc]" -objects $obj
  108. if {[string equal [get_filesets -quiet sim_1] ""]} {
  109. create_fileset -simset sim_1
  110. }
  111. set obj [get_filesets sim_1]
  112. set obj [get_filesets sim_1]
  113. set_property -name "hbs.configure_design_for_hier_access" -value "1" -objects $obj
  114. set_property -name "top" -value "design_1_wrapper" -objects $obj
  115. set_property -name "top_lib" -value "xil_defaultlib" -objects $obj
  116. set obj [get_filesets utils_1]
  117. set obj [get_filesets utils_1]
  118. proc cr_bd_design_1 { parentCell } {
  119. # CHANGE DESIGN NAME HERE
  120. set design_name design_1
  121. common::send_gid_msg -ssname BD::TCL -id 2010 -severity "INFO" "Currently there is no design <$design_name> in project, so creating one..."
  122. create_bd_design $design_name
  123. set bCheckIPsPassed 1
  124. ##################################################################
  125. # CHECK IPs
  126. ##################################################################
  127. set bCheckIPs 1
  128. if { $bCheckIPs == 1 } {
  129. set list_check_ips "\
  130. xilinx.com:ip:processing_system7:5.5\
  131. xilinx.com:ip:proc_sys_reset:5.0\
  132. xilinx.com:ip:xadc_wiz:3.3\
  133. "
  134. set list_ips_missing ""
  135. common::send_gid_msg -ssname BD::TCL -id 2011 -severity "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ."
  136. foreach ip_vlnv $list_check_ips {
  137. set ip_obj [get_ipdefs -all $ip_vlnv]
  138. if { $ip_obj eq "" } {
  139. lappend list_ips_missing $ip_vlnv
  140. }
  141. }
  142. if { $list_ips_missing ne "" } {
  143. catch {common::send_gid_msg -ssname BD::TCL -id 2012 -severity "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP to the project." }
  144. set bCheckIPsPassed 0
  145. }
  146. }
  147. if { $bCheckIPsPassed != 1 } {
  148. common::send_gid_msg -ssname BD::TCL -id 2023 -severity "WARNING" "Will not continue with creation of design due to the error(s) above."
  149. return 3
  150. }
  151. variable script_folder
  152. if { $parentCell eq "" } {
  153. set parentCell [get_bd_cells /]
  154. }
  155. # Get object for parentCell
  156. set parentObj [get_bd_cells $parentCell]
  157. if { $parentObj == "" } {
  158. catch {common::send_gid_msg -ssname BD::TCL -id 2090 -severity "ERROR" "Unable to find parent cell <$parentCell>!"}
  159. return
  160. }
  161. # Make sure parentObj is hier blk
  162. set parentType [get_property TYPE $parentObj]
  163. if { $parentType ne "hier" } {
  164. catch {common::send_gid_msg -ssname BD::TCL -id 2091 -severity "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
  165. return
  166. }
  167. # Save current instance; Restore later
  168. set oldCurInst [current_bd_instance .]
  169. # Set parent object as current
  170. current_bd_instance $parentObj
  171. # Create interface ports
  172. set DDR [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:ddrx_rtl:1.0 DDR ]
  173. set FIXED_IO [ create_bd_intf_port -mode Master -vlnv xilinx.com:display_processing_system7:fixedio_rtl:1.0 FIXED_IO ]
  174. set Vaux6 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 Vaux6 ]
  175. set Vaux7 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 Vaux7 ]
  176. set Vaux14 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 Vaux14 ]
  177. set Vaux15 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_analog_io_rtl:1.0 Vaux15 ]
  178. # Create ports
  179. # Create instance: processing_system7_0, and set properties
  180. set processing_system7_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:processing_system7:5.5 processing_system7_0 ]
  181. set_property -dict [ list \
  182. CONFIG.PCW_ACT_APU_PERIPHERAL_FREQMHZ {666.666687} \
  183. CONFIG.PCW_ACT_CAN_PERIPHERAL_FREQMHZ {10.000000} \
  184. CONFIG.PCW_ACT_DCI_PERIPHERAL_FREQMHZ {10.158730} \
  185. CONFIG.PCW_ACT_ENET0_PERIPHERAL_FREQMHZ {125.000000} \
  186. CONFIG.PCW_ACT_ENET1_PERIPHERAL_FREQMHZ {10.000000} \
  187. CONFIG.PCW_ACT_FPGA0_PERIPHERAL_FREQMHZ {50.000000} \
  188. CONFIG.PCW_ACT_FPGA1_PERIPHERAL_FREQMHZ {10.000000} \
  189. CONFIG.PCW_ACT_FPGA2_PERIPHERAL_FREQMHZ {10.000000} \
  190. CONFIG.PCW_ACT_FPGA3_PERIPHERAL_FREQMHZ {10.000000} \
  191. CONFIG.PCW_ACT_PCAP_PERIPHERAL_FREQMHZ {200.000000} \
  192. CONFIG.PCW_ACT_QSPI_PERIPHERAL_FREQMHZ {200.000000} \
  193. CONFIG.PCW_ACT_SDIO_PERIPHERAL_FREQMHZ {50.000000} \
  194. CONFIG.PCW_ACT_SMC_PERIPHERAL_FREQMHZ {10.000000} \
  195. CONFIG.PCW_ACT_SPI_PERIPHERAL_FREQMHZ {10.000000} \
  196. CONFIG.PCW_ACT_TPIU_PERIPHERAL_FREQMHZ {200.000000} \
  197. CONFIG.PCW_ACT_TTC0_CLK0_PERIPHERAL_FREQMHZ {111.111115} \
  198. CONFIG.PCW_ACT_TTC0_CLK1_PERIPHERAL_FREQMHZ {111.111115} \
  199. CONFIG.PCW_ACT_TTC0_CLK2_PERIPHERAL_FREQMHZ {111.111115} \
  200. CONFIG.PCW_ACT_TTC1_CLK0_PERIPHERAL_FREQMHZ {111.111115} \
  201. CONFIG.PCW_ACT_TTC1_CLK1_PERIPHERAL_FREQMHZ {111.111115} \
  202. CONFIG.PCW_ACT_TTC1_CLK2_PERIPHERAL_FREQMHZ {111.111115} \
  203. CONFIG.PCW_ACT_UART_PERIPHERAL_FREQMHZ {100.000000} \
  204. CONFIG.PCW_ACT_WDT_PERIPHERAL_FREQMHZ {111.111115} \
  205. CONFIG.PCW_APU_CLK_RATIO_ENABLE {6:2:1} \
  206. CONFIG.PCW_APU_PERIPHERAL_FREQMHZ {667} \
  207. CONFIG.PCW_ARMPLL_CTRL_FBDIV {40} \
  208. CONFIG.PCW_CAN_PERIPHERAL_DIVISOR0 {1} \
  209. CONFIG.PCW_CAN_PERIPHERAL_DIVISOR1 {1} \
  210. CONFIG.PCW_CLK0_FREQ {50000000} \
  211. CONFIG.PCW_CLK1_FREQ {10000000} \
  212. CONFIG.PCW_CLK2_FREQ {10000000} \
  213. CONFIG.PCW_CLK3_FREQ {10000000} \
  214. CONFIG.PCW_CPU_CPU_6X4X_MAX_RANGE {667} \
  215. CONFIG.PCW_CPU_CPU_PLL_FREQMHZ {1333.333} \
  216. CONFIG.PCW_CPU_PERIPHERAL_CLKSRC {ARM PLL} \
  217. CONFIG.PCW_CPU_PERIPHERAL_DIVISOR0 {2} \
  218. CONFIG.PCW_CRYSTAL_PERIPHERAL_FREQMHZ {33.333333} \
  219. CONFIG.PCW_DCI_PERIPHERAL_CLKSRC {DDR PLL} \
  220. CONFIG.PCW_DCI_PERIPHERAL_DIVISOR0 {15} \
  221. CONFIG.PCW_DCI_PERIPHERAL_DIVISOR1 {7} \
  222. CONFIG.PCW_DCI_PERIPHERAL_FREQMHZ {10.159} \
  223. CONFIG.PCW_DDRPLL_CTRL_FBDIV {32} \
  224. CONFIG.PCW_DDR_DDR_PLL_FREQMHZ {1066.667} \
  225. CONFIG.PCW_DDR_HPRLPR_QUEUE_PARTITION {HPR/LPR} \
  226. CONFIG.PCW_DDR_HPR_TO_CRITICAL_PRIORITY_LEVEL {15} \
  227. CONFIG.PCW_DDR_LPR_TO_CRITICAL_PRIORITY_LEVEL {2} \
  228. CONFIG.PCW_DDR_PERIPHERAL_CLKSRC {DDR PLL} \
  229. CONFIG.PCW_DDR_PERIPHERAL_DIVISOR0 {2} \
  230. CONFIG.PCW_DDR_PORT0_HPR_ENABLE {0} \
  231. CONFIG.PCW_DDR_PORT1_HPR_ENABLE {0} \
  232. CONFIG.PCW_DDR_PORT2_HPR_ENABLE {0} \
  233. CONFIG.PCW_DDR_PORT3_HPR_ENABLE {0} \
  234. CONFIG.PCW_DDR_RAM_HIGHADDR {0x3FFFFFFF} \
  235. CONFIG.PCW_DDR_WRITE_TO_CRITICAL_PRIORITY_LEVEL {2} \
  236. CONFIG.PCW_ENET0_ENET0_IO {MIO 16 .. 27} \
  237. CONFIG.PCW_ENET0_GRP_MDIO_ENABLE {1} \
  238. CONFIG.PCW_ENET0_GRP_MDIO_IO {MIO 52 .. 53} \
  239. CONFIG.PCW_ENET0_PERIPHERAL_CLKSRC {IO PLL} \
  240. CONFIG.PCW_ENET0_PERIPHERAL_DIVISOR0 {8} \
  241. CONFIG.PCW_ENET0_PERIPHERAL_DIVISOR1 {1} \
  242. CONFIG.PCW_ENET0_PERIPHERAL_ENABLE {1} \
  243. CONFIG.PCW_ENET0_PERIPHERAL_FREQMHZ {1000 Mbps} \
  244. CONFIG.PCW_ENET0_RESET_ENABLE {0} \
  245. CONFIG.PCW_ENET1_GRP_MDIO_ENABLE {0} \
  246. CONFIG.PCW_ENET1_PERIPHERAL_CLKSRC {IO PLL} \
  247. CONFIG.PCW_ENET1_PERIPHERAL_DIVISOR0 {1} \
  248. CONFIG.PCW_ENET1_PERIPHERAL_DIVISOR1 {1} \
  249. CONFIG.PCW_ENET1_PERIPHERAL_ENABLE {0} \
  250. CONFIG.PCW_ENET1_PERIPHERAL_FREQMHZ {1000 Mbps} \
  251. CONFIG.PCW_ENET1_RESET_ENABLE {0} \
  252. CONFIG.PCW_ENET_RESET_ENABLE {1} \
  253. CONFIG.PCW_ENET_RESET_POLARITY {Active Low} \
  254. CONFIG.PCW_ENET_RESET_SELECT {Share reset pin} \
  255. CONFIG.PCW_EN_4K_TIMER {0} \
  256. CONFIG.PCW_EN_ENET0 {1} \
  257. CONFIG.PCW_EN_GPIO {1} \
  258. CONFIG.PCW_EN_QSPI {1} \
  259. CONFIG.PCW_EN_SDIO0 {1} \
  260. CONFIG.PCW_EN_UART1 {1} \
  261. CONFIG.PCW_EN_USB0 {0} \
  262. CONFIG.PCW_FCLK0_PERIPHERAL_DIVISOR0 {5} \
  263. CONFIG.PCW_FCLK0_PERIPHERAL_DIVISOR1 {4} \
  264. CONFIG.PCW_FCLK1_PERIPHERAL_DIVISOR0 {1} \
  265. CONFIG.PCW_FCLK1_PERIPHERAL_DIVISOR1 {1} \
  266. CONFIG.PCW_FCLK2_PERIPHERAL_DIVISOR0 {1} \
  267. CONFIG.PCW_FCLK2_PERIPHERAL_DIVISOR1 {1} \
  268. CONFIG.PCW_FCLK3_PERIPHERAL_DIVISOR0 {1} \
  269. CONFIG.PCW_FCLK3_PERIPHERAL_DIVISOR1 {1} \
  270. CONFIG.PCW_FPGA_FCLK0_ENABLE {1} \
  271. CONFIG.PCW_FPGA_FCLK1_ENABLE {0} \
  272. CONFIG.PCW_FPGA_FCLK2_ENABLE {0} \
  273. CONFIG.PCW_FPGA_FCLK3_ENABLE {0} \
  274. CONFIG.PCW_GPIO_MIO_GPIO_ENABLE {1} \
  275. CONFIG.PCW_GPIO_MIO_GPIO_IO {MIO} \
  276. CONFIG.PCW_GPIO_PERIPHERAL_ENABLE {0} \
  277. CONFIG.PCW_I2C0_RESET_ENABLE {0} \
  278. CONFIG.PCW_I2C1_RESET_ENABLE {0} \
  279. CONFIG.PCW_I2C_PERIPHERAL_FREQMHZ {25} \
  280. CONFIG.PCW_I2C_RESET_ENABLE {1} \
  281. CONFIG.PCW_IOPLL_CTRL_FBDIV {30} \
  282. CONFIG.PCW_IO_IO_PLL_FREQMHZ {1000.000} \
  283. CONFIG.PCW_IRQ_F2P_MODE {DIRECT} \
  284. CONFIG.PCW_MIO_0_DIRECTION {inout} \
  285. CONFIG.PCW_MIO_0_IOTYPE {LVCMOS 3.3V} \
  286. CONFIG.PCW_MIO_0_PULLUP {enabled} \
  287. CONFIG.PCW_MIO_0_SLEW {slow} \
  288. CONFIG.PCW_MIO_10_DIRECTION {inout} \
  289. CONFIG.PCW_MIO_10_IOTYPE {LVCMOS 3.3V} \
  290. CONFIG.PCW_MIO_10_PULLUP {enabled} \
  291. CONFIG.PCW_MIO_10_SLEW {slow} \
  292. CONFIG.PCW_MIO_11_DIRECTION {inout} \
  293. CONFIG.PCW_MIO_11_IOTYPE {LVCMOS 3.3V} \
  294. CONFIG.PCW_MIO_11_PULLUP {enabled} \
  295. CONFIG.PCW_MIO_11_SLEW {slow} \
  296. CONFIG.PCW_MIO_12_DIRECTION {inout} \
  297. CONFIG.PCW_MIO_12_IOTYPE {LVCMOS 3.3V} \
  298. CONFIG.PCW_MIO_12_PULLUP {enabled} \
  299. CONFIG.PCW_MIO_12_SLEW {slow} \
  300. CONFIG.PCW_MIO_13_DIRECTION {inout} \
  301. CONFIG.PCW_MIO_13_IOTYPE {LVCMOS 3.3V} \
  302. CONFIG.PCW_MIO_13_PULLUP {enabled} \
  303. CONFIG.PCW_MIO_13_SLEW {slow} \
  304. CONFIG.PCW_MIO_14_DIRECTION {inout} \
  305. CONFIG.PCW_MIO_14_IOTYPE {LVCMOS 3.3V} \
  306. CONFIG.PCW_MIO_14_PULLUP {enabled} \
  307. CONFIG.PCW_MIO_14_SLEW {slow} \
  308. CONFIG.PCW_MIO_15_DIRECTION {inout} \
  309. CONFIG.PCW_MIO_15_IOTYPE {LVCMOS 3.3V} \
  310. CONFIG.PCW_MIO_15_PULLUP {enabled} \
  311. CONFIG.PCW_MIO_15_SLEW {slow} \
  312. CONFIG.PCW_MIO_16_DIRECTION {out} \
  313. CONFIG.PCW_MIO_16_IOTYPE {LVCMOS 1.8V} \
  314. CONFIG.PCW_MIO_16_PULLUP {enabled} \
  315. CONFIG.PCW_MIO_16_SLEW {fast} \
  316. CONFIG.PCW_MIO_17_DIRECTION {out} \
  317. CONFIG.PCW_MIO_17_IOTYPE {LVCMOS 1.8V} \
  318. CONFIG.PCW_MIO_17_PULLUP {enabled} \
  319. CONFIG.PCW_MIO_17_SLEW {fast} \
  320. CONFIG.PCW_MIO_18_DIRECTION {out} \
  321. CONFIG.PCW_MIO_18_IOTYPE {LVCMOS 1.8V} \
  322. CONFIG.PCW_MIO_18_PULLUP {enabled} \
  323. CONFIG.PCW_MIO_18_SLEW {fast} \
  324. CONFIG.PCW_MIO_19_DIRECTION {out} \
  325. CONFIG.PCW_MIO_19_IOTYPE {LVCMOS 1.8V} \
  326. CONFIG.PCW_MIO_19_PULLUP {enabled} \
  327. CONFIG.PCW_MIO_19_SLEW {fast} \
  328. CONFIG.PCW_MIO_1_DIRECTION {out} \
  329. CONFIG.PCW_MIO_1_IOTYPE {LVCMOS 3.3V} \
  330. CONFIG.PCW_MIO_1_PULLUP {enabled} \
  331. CONFIG.PCW_MIO_1_SLEW {slow} \
  332. CONFIG.PCW_MIO_20_DIRECTION {out} \
  333. CONFIG.PCW_MIO_20_IOTYPE {LVCMOS 1.8V} \
  334. CONFIG.PCW_MIO_20_PULLUP {enabled} \
  335. CONFIG.PCW_MIO_20_SLEW {fast} \
  336. CONFIG.PCW_MIO_21_DIRECTION {out} \
  337. CONFIG.PCW_MIO_21_IOTYPE {LVCMOS 1.8V} \
  338. CONFIG.PCW_MIO_21_PULLUP {enabled} \
  339. CONFIG.PCW_MIO_21_SLEW {fast} \
  340. CONFIG.PCW_MIO_22_DIRECTION {in} \
  341. CONFIG.PCW_MIO_22_IOTYPE {LVCMOS 1.8V} \
  342. CONFIG.PCW_MIO_22_PULLUP {enabled} \
  343. CONFIG.PCW_MIO_22_SLEW {fast} \
  344. CONFIG.PCW_MIO_23_DIRECTION {in} \
  345. CONFIG.PCW_MIO_23_IOTYPE {LVCMOS 1.8V} \
  346. CONFIG.PCW_MIO_23_PULLUP {enabled} \
  347. CONFIG.PCW_MIO_23_SLEW {fast} \
  348. CONFIG.PCW_MIO_24_DIRECTION {in} \
  349. CONFIG.PCW_MIO_24_IOTYPE {LVCMOS 1.8V} \
  350. CONFIG.PCW_MIO_24_PULLUP {enabled} \
  351. CONFIG.PCW_MIO_24_SLEW {fast} \
  352. CONFIG.PCW_MIO_25_DIRECTION {in} \
  353. CONFIG.PCW_MIO_25_IOTYPE {LVCMOS 1.8V} \
  354. CONFIG.PCW_MIO_25_PULLUP {enabled} \
  355. CONFIG.PCW_MIO_25_SLEW {fast} \
  356. CONFIG.PCW_MIO_26_DIRECTION {in} \
  357. CONFIG.PCW_MIO_26_IOTYPE {LVCMOS 1.8V} \
  358. CONFIG.PCW_MIO_26_PULLUP {enabled} \
  359. CONFIG.PCW_MIO_26_SLEW {fast} \
  360. CONFIG.PCW_MIO_27_DIRECTION {in} \
  361. CONFIG.PCW_MIO_27_IOTYPE {LVCMOS 1.8V} \
  362. CONFIG.PCW_MIO_27_PULLUP {enabled} \
  363. CONFIG.PCW_MIO_27_SLEW {fast} \
  364. CONFIG.PCW_MIO_28_DIRECTION {inout} \
  365. CONFIG.PCW_MIO_28_IOTYPE {LVCMOS 1.8V} \
  366. CONFIG.PCW_MIO_28_PULLUP {enabled} \
  367. CONFIG.PCW_MIO_28_SLEW {fast} \
  368. CONFIG.PCW_MIO_29_DIRECTION {in} \
  369. CONFIG.PCW_MIO_29_IOTYPE {LVCMOS 1.8V} \
  370. CONFIG.PCW_MIO_29_PULLUP {enabled} \
  371. CONFIG.PCW_MIO_29_SLEW {fast} \
  372. CONFIG.PCW_MIO_2_DIRECTION {inout} \
  373. CONFIG.PCW_MIO_2_IOTYPE {LVCMOS 3.3V} \
  374. CONFIG.PCW_MIO_2_PULLUP {disabled} \
  375. CONFIG.PCW_MIO_2_SLEW {slow} \
  376. CONFIG.PCW_MIO_30_DIRECTION {out} \
  377. CONFIG.PCW_MIO_30_IOTYPE {LVCMOS 1.8V} \
  378. CONFIG.PCW_MIO_30_PULLUP {enabled} \
  379. CONFIG.PCW_MIO_30_SLEW {fast} \
  380. CONFIG.PCW_MIO_31_DIRECTION {in} \
  381. CONFIG.PCW_MIO_31_IOTYPE {LVCMOS 1.8V} \
  382. CONFIG.PCW_MIO_31_PULLUP {enabled} \
  383. CONFIG.PCW_MIO_31_SLEW {fast} \
  384. CONFIG.PCW_MIO_32_DIRECTION {inout} \
  385. CONFIG.PCW_MIO_32_IOTYPE {LVCMOS 1.8V} \
  386. CONFIG.PCW_MIO_32_PULLUP {enabled} \
  387. CONFIG.PCW_MIO_32_SLEW {fast} \
  388. CONFIG.PCW_MIO_33_DIRECTION {inout} \
  389. CONFIG.PCW_MIO_33_IOTYPE {LVCMOS 1.8V} \
  390. CONFIG.PCW_MIO_33_PULLUP {enabled} \
  391. CONFIG.PCW_MIO_33_SLEW {fast} \
  392. CONFIG.PCW_MIO_34_DIRECTION {inout} \
  393. CONFIG.PCW_MIO_34_IOTYPE {LVCMOS 1.8V} \
  394. CONFIG.PCW_MIO_34_PULLUP {enabled} \
  395. CONFIG.PCW_MIO_34_SLEW {fast} \
  396. CONFIG.PCW_MIO_35_DIRECTION {inout} \
  397. CONFIG.PCW_MIO_35_IOTYPE {LVCMOS 1.8V} \
  398. CONFIG.PCW_MIO_35_PULLUP {enabled} \
  399. CONFIG.PCW_MIO_35_SLEW {fast} \
  400. CONFIG.PCW_MIO_36_DIRECTION {in} \
  401. CONFIG.PCW_MIO_36_IOTYPE {LVCMOS 1.8V} \
  402. CONFIG.PCW_MIO_36_PULLUP {enabled} \
  403. CONFIG.PCW_MIO_36_SLEW {fast} \
  404. CONFIG.PCW_MIO_37_DIRECTION {inout} \
  405. CONFIG.PCW_MIO_37_IOTYPE {LVCMOS 1.8V} \
  406. CONFIG.PCW_MIO_37_PULLUP {enabled} \
  407. CONFIG.PCW_MIO_37_SLEW {fast} \
  408. CONFIG.PCW_MIO_38_DIRECTION {inout} \
  409. CONFIG.PCW_MIO_38_IOTYPE {LVCMOS 1.8V} \
  410. CONFIG.PCW_MIO_38_PULLUP {enabled} \
  411. CONFIG.PCW_MIO_38_SLEW {fast} \
  412. CONFIG.PCW_MIO_39_DIRECTION {inout} \
  413. CONFIG.PCW_MIO_39_IOTYPE {LVCMOS 1.8V} \
  414. CONFIG.PCW_MIO_39_PULLUP {enabled} \
  415. CONFIG.PCW_MIO_39_SLEW {fast} \
  416. CONFIG.PCW_MIO_3_DIRECTION {inout} \
  417. CONFIG.PCW_MIO_3_IOTYPE {LVCMOS 3.3V} \
  418. CONFIG.PCW_MIO_3_PULLUP {disabled} \
  419. CONFIG.PCW_MIO_3_SLEW {slow} \
  420. CONFIG.PCW_MIO_40_DIRECTION {inout} \
  421. CONFIG.PCW_MIO_40_IOTYPE {LVCMOS 1.8V} \
  422. CONFIG.PCW_MIO_40_PULLUP {enabled} \
  423. CONFIG.PCW_MIO_40_SLEW {slow} \
  424. CONFIG.PCW_MIO_41_DIRECTION {inout} \
  425. CONFIG.PCW_MIO_41_IOTYPE {LVCMOS 1.8V} \
  426. CONFIG.PCW_MIO_41_PULLUP {enabled} \
  427. CONFIG.PCW_MIO_41_SLEW {slow} \
  428. CONFIG.PCW_MIO_42_DIRECTION {inout} \
  429. CONFIG.PCW_MIO_42_IOTYPE {LVCMOS 1.8V} \
  430. CONFIG.PCW_MIO_42_PULLUP {enabled} \
  431. CONFIG.PCW_MIO_42_SLEW {slow} \
  432. CONFIG.PCW_MIO_43_DIRECTION {inout} \
  433. CONFIG.PCW_MIO_43_IOTYPE {LVCMOS 1.8V} \
  434. CONFIG.PCW_MIO_43_PULLUP {enabled} \
  435. CONFIG.PCW_MIO_43_SLEW {slow} \
  436. CONFIG.PCW_MIO_44_DIRECTION {inout} \
  437. CONFIG.PCW_MIO_44_IOTYPE {LVCMOS 1.8V} \
  438. CONFIG.PCW_MIO_44_PULLUP {enabled} \
  439. CONFIG.PCW_MIO_44_SLEW {slow} \
  440. CONFIG.PCW_MIO_45_DIRECTION {inout} \
  441. CONFIG.PCW_MIO_45_IOTYPE {LVCMOS 1.8V} \
  442. CONFIG.PCW_MIO_45_PULLUP {enabled} \
  443. CONFIG.PCW_MIO_45_SLEW {slow} \
  444. CONFIG.PCW_MIO_46_DIRECTION {out} \
  445. CONFIG.PCW_MIO_46_IOTYPE {LVCMOS 1.8V} \
  446. CONFIG.PCW_MIO_46_PULLUP {enabled} \
  447. CONFIG.PCW_MIO_46_SLEW {slow} \
  448. CONFIG.PCW_MIO_47_DIRECTION {in} \
  449. CONFIG.PCW_MIO_47_IOTYPE {LVCMOS 1.8V} \
  450. CONFIG.PCW_MIO_47_PULLUP {enabled} \
  451. CONFIG.PCW_MIO_47_SLEW {slow} \
  452. CONFIG.PCW_MIO_48_DIRECTION {out} \
  453. CONFIG.PCW_MIO_48_IOTYPE {LVCMOS 1.8V} \
  454. CONFIG.PCW_MIO_48_PULLUP {enabled} \
  455. CONFIG.PCW_MIO_48_SLEW {slow} \
  456. CONFIG.PCW_MIO_49_DIRECTION {in} \
  457. CONFIG.PCW_MIO_49_IOTYPE {LVCMOS 1.8V} \
  458. CONFIG.PCW_MIO_49_PULLUP {enabled} \
  459. CONFIG.PCW_MIO_49_SLEW {slow} \
  460. CONFIG.PCW_MIO_4_DIRECTION {inout} \
  461. CONFIG.PCW_MIO_4_IOTYPE {LVCMOS 3.3V} \
  462. CONFIG.PCW_MIO_4_PULLUP {disabled} \
  463. CONFIG.PCW_MIO_4_SLEW {slow} \
  464. CONFIG.PCW_MIO_50_DIRECTION {inout} \
  465. CONFIG.PCW_MIO_50_IOTYPE {LVCMOS 1.8V} \
  466. CONFIG.PCW_MIO_50_PULLUP {enabled} \
  467. CONFIG.PCW_MIO_50_SLEW {slow} \
  468. CONFIG.PCW_MIO_51_DIRECTION {inout} \
  469. CONFIG.PCW_MIO_51_IOTYPE {LVCMOS 1.8V} \
  470. CONFIG.PCW_MIO_51_PULLUP {enabled} \
  471. CONFIG.PCW_MIO_51_SLEW {slow} \
  472. CONFIG.PCW_MIO_52_DIRECTION {out} \
  473. CONFIG.PCW_MIO_52_IOTYPE {LVCMOS 1.8V} \
  474. CONFIG.PCW_MIO_52_PULLUP {enabled} \
  475. CONFIG.PCW_MIO_52_SLEW {slow} \
  476. CONFIG.PCW_MIO_53_DIRECTION {inout} \
  477. CONFIG.PCW_MIO_53_IOTYPE {LVCMOS 1.8V} \
  478. CONFIG.PCW_MIO_53_PULLUP {enabled} \
  479. CONFIG.PCW_MIO_53_SLEW {slow} \
  480. CONFIG.PCW_MIO_5_DIRECTION {inout} \
  481. CONFIG.PCW_MIO_5_IOTYPE {LVCMOS 3.3V} \
  482. CONFIG.PCW_MIO_5_PULLUP {disabled} \
  483. CONFIG.PCW_MIO_5_SLEW {slow} \
  484. CONFIG.PCW_MIO_6_DIRECTION {out} \
  485. CONFIG.PCW_MIO_6_IOTYPE {LVCMOS 3.3V} \
  486. CONFIG.PCW_MIO_6_PULLUP {disabled} \
  487. CONFIG.PCW_MIO_6_SLEW {slow} \
  488. CONFIG.PCW_MIO_7_DIRECTION {out} \
  489. CONFIG.PCW_MIO_7_IOTYPE {LVCMOS 3.3V} \
  490. CONFIG.PCW_MIO_7_PULLUP {disabled} \
  491. CONFIG.PCW_MIO_7_SLEW {slow} \
  492. CONFIG.PCW_MIO_8_DIRECTION {out} \
  493. CONFIG.PCW_MIO_8_IOTYPE {LVCMOS 3.3V} \
  494. CONFIG.PCW_MIO_8_PULLUP {disabled} \
  495. CONFIG.PCW_MIO_8_SLEW {slow} \
  496. CONFIG.PCW_MIO_9_DIRECTION {inout} \
  497. CONFIG.PCW_MIO_9_IOTYPE {LVCMOS 3.3V} \
  498. CONFIG.PCW_MIO_9_PULLUP {enabled} \
  499. CONFIG.PCW_MIO_9_SLEW {slow} \
  500. CONFIG.PCW_MIO_TREE_PERIPHERALS { \
  501. 0#Enet 0 \
  502. 0#Enet 0 \
  503. 0#Enet 0 \
  504. 0#Enet 0 \
  505. 0#Enet 0 \
  506. 0#Enet 0 \
  507. 0#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#SD 0#SD \
  508. 0#GPIO#SD 0#UART \
  509. 0#SD 0#SD \
  510. 0#SD 0#SD \
  511. 1#UART 1#GPIO#GPIO#Enet \
  512. Flash#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#GPIO#Enet 0#Enet \
  513. Flash#GPIO#Quad SPI \
  514. Flash#Quad SPI \
  515. Flash#Quad SPI \
  516. Flash#Quad SPI \
  517. Flash#Quad SPI \
  518. Flash#Quad SPI \
  519. GPIO#Quad SPI \
  520. } \
  521. CONFIG.PCW_MIO_TREE_SIGNALS {gpio0#qspi0_ss_b#qspi0_io0#qspi0_io1#qspi0_io2#qspi0_io3/HOLD_B#qspi0_sclk#gpio7#qspi_fbclk#gpio9#gpio10#gpio11#gpio12#gpio13#gpio14#gpio15#tx_clk#txd0#txd1#txd2#txd3#tx_ctl#rx_clk#rxd0#rxd1#rxd2#rxd3#rx_ctl#gpio28#gpio29#gpio30#gpio31#gpio32#gpio33#gpio34#gpio35#gpio36#gpio37#gpio38#gpio39#clk#cmd#data0#data1#data2#data3#gpio46#cd#tx#rx#gpio50#gpio51#mdc#mdio} \
  522. CONFIG.PCW_NAND_GRP_D8_ENABLE {0} \
  523. CONFIG.PCW_NAND_PERIPHERAL_ENABLE {0} \
  524. CONFIG.PCW_NOR_GRP_A25_ENABLE {0} \
  525. CONFIG.PCW_NOR_GRP_CS0_ENABLE {0} \
  526. CONFIG.PCW_NOR_GRP_CS1_ENABLE {0} \
  527. CONFIG.PCW_NOR_GRP_SRAM_CS0_ENABLE {0} \
  528. CONFIG.PCW_NOR_GRP_SRAM_CS1_ENABLE {0} \
  529. CONFIG.PCW_NOR_GRP_SRAM_INT_ENABLE {0} \
  530. CONFIG.PCW_NOR_PERIPHERAL_ENABLE {0} \
  531. CONFIG.PCW_OVERRIDE_BASIC_CLOCK {0} \
  532. CONFIG.PCW_PACKAGE_DDR_BOARD_DELAY0 {0.221} \
  533. CONFIG.PCW_PACKAGE_DDR_BOARD_DELAY1 {0.222} \
  534. CONFIG.PCW_PACKAGE_DDR_BOARD_DELAY2 {0.217} \
  535. CONFIG.PCW_PACKAGE_DDR_BOARD_DELAY3 {0.244} \
  536. CONFIG.PCW_PACKAGE_DDR_DQS_TO_CLK_DELAY_0 {-0.050} \
  537. CONFIG.PCW_PACKAGE_DDR_DQS_TO_CLK_DELAY_1 {-0.044} \
  538. CONFIG.PCW_PACKAGE_DDR_DQS_TO_CLK_DELAY_2 {-0.035} \
  539. CONFIG.PCW_PACKAGE_DDR_DQS_TO_CLK_DELAY_3 {-0.100} \
  540. CONFIG.PCW_PCAP_PERIPHERAL_CLKSRC {IO PLL} \
  541. CONFIG.PCW_PCAP_PERIPHERAL_DIVISOR0 {5} \
  542. CONFIG.PCW_PCAP_PERIPHERAL_FREQMHZ {200} \
  543. CONFIG.PCW_PJTAG_PERIPHERAL_ENABLE {0} \
  544. CONFIG.PCW_PLL_BYPASSMODE_ENABLE {0} \
  545. CONFIG.PCW_PRESET_BANK0_VOLTAGE {LVCMOS 3.3V} \
  546. CONFIG.PCW_PRESET_BANK1_VOLTAGE {LVCMOS 1.8V} \
  547. CONFIG.PCW_QSPI_GRP_FBCLK_ENABLE {1} \
  548. CONFIG.PCW_QSPI_GRP_FBCLK_IO {MIO 8} \
  549. CONFIG.PCW_QSPI_GRP_IO1_ENABLE {0} \
  550. CONFIG.PCW_QSPI_GRP_SINGLE_SS_ENABLE {1} \
  551. CONFIG.PCW_QSPI_GRP_SINGLE_SS_IO {MIO 1 .. 6} \
  552. CONFIG.PCW_QSPI_GRP_SS1_ENABLE {0} \
  553. CONFIG.PCW_QSPI_INTERNAL_HIGHADDRESS {0xFCFFFFFF} \
  554. CONFIG.PCW_QSPI_PERIPHERAL_CLKSRC {IO PLL} \
  555. CONFIG.PCW_QSPI_PERIPHERAL_DIVISOR0 {5} \
  556. CONFIG.PCW_QSPI_PERIPHERAL_ENABLE {1} \
  557. CONFIG.PCW_QSPI_PERIPHERAL_FREQMHZ {200} \
  558. CONFIG.PCW_QSPI_QSPI_IO {MIO 1 .. 6} \
  559. CONFIG.PCW_SD0_GRP_CD_ENABLE {1} \
  560. CONFIG.PCW_SD0_GRP_CD_IO {MIO 47} \
  561. CONFIG.PCW_SD0_GRP_POW_ENABLE {0} \
  562. CONFIG.PCW_SD0_GRP_WP_ENABLE {0} \
  563. CONFIG.PCW_SD0_PERIPHERAL_ENABLE {1} \
  564. CONFIG.PCW_SD0_SD0_IO {MIO 40 .. 45} \
  565. CONFIG.PCW_SDIO_PERIPHERAL_CLKSRC {IO PLL} \
  566. CONFIG.PCW_SDIO_PERIPHERAL_DIVISOR0 {20} \
  567. CONFIG.PCW_SDIO_PERIPHERAL_FREQMHZ {50} \
  568. CONFIG.PCW_SDIO_PERIPHERAL_VALID {1} \
  569. CONFIG.PCW_SINGLE_QSPI_DATA_MODE {x4} \
  570. CONFIG.PCW_SMC_PERIPHERAL_CLKSRC {IO PLL} \
  571. CONFIG.PCW_SMC_PERIPHERAL_DIVISOR0 {1} \
  572. CONFIG.PCW_SMC_PERIPHERAL_FREQMHZ {100} \
  573. CONFIG.PCW_SPI_PERIPHERAL_DIVISOR0 {1} \
  574. CONFIG.PCW_TPIU_PERIPHERAL_CLKSRC {External} \
  575. CONFIG.PCW_TPIU_PERIPHERAL_DIVISOR0 {1} \
  576. CONFIG.PCW_TPIU_PERIPHERAL_FREQMHZ {200} \
  577. CONFIG.PCW_UART0_GRP_FULL_ENABLE {0} \
  578. CONFIG.PCW_UART0_PERIPHERAL_ENABLE {0} \
  579. CONFIG.PCW_UART1_BAUD_RATE {115200} \
  580. CONFIG.PCW_UART1_GRP_FULL_ENABLE {0} \
  581. CONFIG.PCW_UART1_PERIPHERAL_ENABLE {1} \
  582. CONFIG.PCW_UART1_UART1_IO {MIO 48 .. 49} \
  583. CONFIG.PCW_UART_PERIPHERAL_CLKSRC {IO PLL} \
  584. CONFIG.PCW_UART_PERIPHERAL_DIVISOR0 {10} \
  585. CONFIG.PCW_UART_PERIPHERAL_FREQMHZ {100} \
  586. CONFIG.PCW_UART_PERIPHERAL_VALID {1} \
  587. CONFIG.PCW_UIPARAM_ACT_DDR_FREQ_MHZ {533.333374} \
  588. CONFIG.PCW_UIPARAM_DDR_ADV_ENABLE {0} \
  589. CONFIG.PCW_UIPARAM_DDR_AL {0} \
  590. CONFIG.PCW_UIPARAM_DDR_BANK_ADDR_COUNT {3} \
  591. CONFIG.PCW_UIPARAM_DDR_BL {8} \
  592. CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY0 {0.221} \
  593. CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY1 {0.222} \
  594. CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY2 {0.217} \
  595. CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY3 {0.244} \
  596. CONFIG.PCW_UIPARAM_DDR_BUS_WIDTH {32 Bit} \
  597. CONFIG.PCW_UIPARAM_DDR_CL {7} \
  598. CONFIG.PCW_UIPARAM_DDR_CLOCK_0_LENGTH_MM {18.8} \
  599. CONFIG.PCW_UIPARAM_DDR_CLOCK_0_PACKAGE_LENGTH {80.4535} \
  600. CONFIG.PCW_UIPARAM_DDR_CLOCK_0_PROPOGATION_DELAY {160} \
  601. CONFIG.PCW_UIPARAM_DDR_CLOCK_1_LENGTH_MM {18.8} \
  602. CONFIG.PCW_UIPARAM_DDR_CLOCK_1_PACKAGE_LENGTH {80.4535} \
  603. CONFIG.PCW_UIPARAM_DDR_CLOCK_1_PROPOGATION_DELAY {160} \
  604. CONFIG.PCW_UIPARAM_DDR_CLOCK_2_LENGTH_MM {18.8} \
  605. CONFIG.PCW_UIPARAM_DDR_CLOCK_2_PACKAGE_LENGTH {80.4535} \
  606. CONFIG.PCW_UIPARAM_DDR_CLOCK_2_PROPOGATION_DELAY {160} \
  607. CONFIG.PCW_UIPARAM_DDR_CLOCK_3_LENGTH_MM {18.8} \
  608. CONFIG.PCW_UIPARAM_DDR_CLOCK_3_PACKAGE_LENGTH {80.4535} \
  609. CONFIG.PCW_UIPARAM_DDR_CLOCK_3_PROPOGATION_DELAY {160} \
  610. CONFIG.PCW_UIPARAM_DDR_CLOCK_STOP_EN {0} \
  611. CONFIG.PCW_UIPARAM_DDR_COL_ADDR_COUNT {10} \
  612. CONFIG.PCW_UIPARAM_DDR_CWL {6} \
  613. CONFIG.PCW_UIPARAM_DDR_DEVICE_CAPACITY {4096 MBits} \
  614. CONFIG.PCW_UIPARAM_DDR_DQS_0_LENGTH_MM {22.8} \
  615. CONFIG.PCW_UIPARAM_DDR_DQS_0_PACKAGE_LENGTH {105.056} \
  616. CONFIG.PCW_UIPARAM_DDR_DQS_0_PROPOGATION_DELAY {160} \
  617. CONFIG.PCW_UIPARAM_DDR_DQS_1_LENGTH_MM {27.9} \
  618. CONFIG.PCW_UIPARAM_DDR_DQS_1_PACKAGE_LENGTH {66.904} \
  619. CONFIG.PCW_UIPARAM_DDR_DQS_1_PROPOGATION_DELAY {160} \
  620. CONFIG.PCW_UIPARAM_DDR_DQS_2_LENGTH_MM {22.9} \
  621. CONFIG.PCW_UIPARAM_DDR_DQS_2_PACKAGE_LENGTH {89.1715} \
  622. CONFIG.PCW_UIPARAM_DDR_DQS_2_PROPOGATION_DELAY {160} \
  623. CONFIG.PCW_UIPARAM_DDR_DQS_3_LENGTH_MM {29.4} \
  624. CONFIG.PCW_UIPARAM_DDR_DQS_3_PACKAGE_LENGTH {113.63} \
  625. CONFIG.PCW_UIPARAM_DDR_DQS_3_PROPOGATION_DELAY {160} \
  626. CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 {-0.050} \
  627. CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 {-0.044} \
  628. CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 {-0.035} \
  629. CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 {-0.100} \
  630. CONFIG.PCW_UIPARAM_DDR_DQ_0_LENGTH_MM {22.8} \
  631. CONFIG.PCW_UIPARAM_DDR_DQ_0_PACKAGE_LENGTH {98.503} \
  632. CONFIG.PCW_UIPARAM_DDR_DQ_0_PROPOGATION_DELAY {160} \
  633. CONFIG.PCW_UIPARAM_DDR_DQ_1_LENGTH_MM {27.9} \
  634. CONFIG.PCW_UIPARAM_DDR_DQ_1_PACKAGE_LENGTH {68.5855} \
  635. CONFIG.PCW_UIPARAM_DDR_DQ_1_PROPOGATION_DELAY {160} \
  636. CONFIG.PCW_UIPARAM_DDR_DQ_2_LENGTH_MM {22.9} \
  637. CONFIG.PCW_UIPARAM_DDR_DQ_2_PACKAGE_LENGTH {90.295} \
  638. CONFIG.PCW_UIPARAM_DDR_DQ_2_PROPOGATION_DELAY {160} \
  639. CONFIG.PCW_UIPARAM_DDR_DQ_3_LENGTH_MM {29.4} \
  640. CONFIG.PCW_UIPARAM_DDR_DQ_3_PACKAGE_LENGTH {103.977} \
  641. CONFIG.PCW_UIPARAM_DDR_DQ_3_PROPOGATION_DELAY {160} \
  642. CONFIG.PCW_UIPARAM_DDR_DRAM_WIDTH {16 Bits} \
  643. CONFIG.PCW_UIPARAM_DDR_ECC {Disabled} \
  644. CONFIG.PCW_UIPARAM_DDR_ENABLE {1} \
  645. CONFIG.PCW_UIPARAM_DDR_FREQ_MHZ {533.333333} \
  646. CONFIG.PCW_UIPARAM_DDR_HIGH_TEMP {Normal (0-85)} \
  647. CONFIG.PCW_UIPARAM_DDR_MEMORY_TYPE {DDR 3 (Low Voltage)} \
  648. CONFIG.PCW_UIPARAM_DDR_PARTNO {MT41K256M16 RE-125} \
  649. CONFIG.PCW_UIPARAM_DDR_ROW_ADDR_COUNT {15} \
  650. CONFIG.PCW_UIPARAM_DDR_SPEED_BIN {DDR3_1066F} \
  651. CONFIG.PCW_UIPARAM_DDR_TRAIN_DATA_EYE {1} \
  652. CONFIG.PCW_UIPARAM_DDR_TRAIN_READ_GATE {1} \
  653. CONFIG.PCW_UIPARAM_DDR_TRAIN_WRITE_LEVEL {1} \
  654. CONFIG.PCW_UIPARAM_DDR_T_FAW {40.0} \
  655. CONFIG.PCW_UIPARAM_DDR_T_RAS_MIN {35.0} \
  656. CONFIG.PCW_UIPARAM_DDR_T_RC {48.75} \
  657. CONFIG.PCW_UIPARAM_DDR_T_RCD {7} \
  658. CONFIG.PCW_UIPARAM_DDR_T_RP {7} \
  659. CONFIG.PCW_UIPARAM_DDR_USE_INTERNAL_VREF {0} \
  660. CONFIG.PCW_USB0_PERIPHERAL_ENABLE {0} \
  661. CONFIG.PCW_USB0_PERIPHERAL_FREQMHZ {60} \
  662. CONFIG.PCW_USB0_RESET_ENABLE {1} \
  663. CONFIG.PCW_USB0_RESET_IO {MIO 46} \
  664. CONFIG.PCW_USB0_USB0_IO {<Select>} \
  665. CONFIG.PCW_USB1_RESET_ENABLE {0} \
  666. CONFIG.PCW_USB_RESET_ENABLE {1} \
  667. CONFIG.PCW_USB_RESET_POLARITY {Active Low} \
  668. CONFIG.PCW_USB_RESET_SELECT {<Select>} \
  669. CONFIG.PCW_USE_AXI_NONSECURE {0} \
  670. CONFIG.PCW_USE_CROSS_TRIGGER {0} \
  671. CONFIG.PCW_USE_M_AXI_GP0 {1} \
  672. ] $processing_system7_0
  673. # Create instance: ps7_0_axi_periph, and set properties
  674. set ps7_0_axi_periph [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 ps7_0_axi_periph ]
  675. set_property -dict [ list \
  676. CONFIG.NUM_MI {1} \
  677. ] $ps7_0_axi_periph
  678. # Create instance: rst_ps7_0_50M, and set properties
  679. set rst_ps7_0_50M [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 rst_ps7_0_50M ]
  680. # Create instance: xadc_wiz_0, and set properties
  681. set xadc_wiz_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xadc_wiz:3.3 xadc_wiz_0 ]
  682. set_property -dict [ list \
  683. CONFIG.ADC_OFFSET_AND_GAIN_CALIBRATION {false} \
  684. CONFIG.CHANNEL_ENABLE_VAUXP14_VAUXN14 {true} \
  685. CONFIG.CHANNEL_ENABLE_VAUXP15_VAUXN15 {true} \
  686. CONFIG.CHANNEL_ENABLE_VAUXP6_VAUXN6 {true} \
  687. CONFIG.CHANNEL_ENABLE_VAUXP7_VAUXN7 {true} \
  688. CONFIG.CHANNEL_ENABLE_VP_VN {false} \
  689. CONFIG.ENABLE_CALIBRATION_AVERAGING {false} \
  690. CONFIG.ENABLE_VCCDDRO_ALARM {false} \
  691. CONFIG.ENABLE_VCCPAUX_ALARM {false} \
  692. CONFIG.ENABLE_VCCPINT_ALARM {false} \
  693. CONFIG.EXTERNAL_MUX_CHANNEL {VP_VN} \
  694. CONFIG.OT_ALARM {false} \
  695. CONFIG.SENSOR_OFFSET_AND_GAIN_CALIBRATION {false} \
  696. CONFIG.SEQUENCER_MODE {Continuous} \
  697. CONFIG.SINGLE_CHANNEL_SELECTION {TEMPERATURE} \
  698. CONFIG.USER_TEMP_ALARM {false} \
  699. CONFIG.VCCAUX_ALARM {false} \
  700. CONFIG.VCCINT_ALARM {false} \
  701. CONFIG.XADC_STARUP_SELECTION {channel_sequencer} \
  702. ] $xadc_wiz_0
  703. # Create interface connections
  704. connect_bd_intf_net -intf_net Vaux14_1 [get_bd_intf_ports Vaux14] [get_bd_intf_pins xadc_wiz_0/Vaux14]
  705. connect_bd_intf_net -intf_net Vaux15_1 [get_bd_intf_ports Vaux15] [get_bd_intf_pins xadc_wiz_0/Vaux15]
  706. connect_bd_intf_net -intf_net Vaux6_1 [get_bd_intf_ports Vaux6] [get_bd_intf_pins xadc_wiz_0/Vaux6]
  707. connect_bd_intf_net -intf_net Vaux7_1 [get_bd_intf_ports Vaux7] [get_bd_intf_pins xadc_wiz_0/Vaux7]
  708. connect_bd_intf_net -intf_net processing_system7_0_DDR [get_bd_intf_ports DDR] [get_bd_intf_pins processing_system7_0/DDR]
  709. connect_bd_intf_net -intf_net processing_system7_0_FIXED_IO [get_bd_intf_ports FIXED_IO] [get_bd_intf_pins processing_system7_0/FIXED_IO]
  710. connect_bd_intf_net -intf_net processing_system7_0_M_AXI_GP0 [get_bd_intf_pins processing_system7_0/M_AXI_GP0] [get_bd_intf_pins ps7_0_axi_periph/S00_AXI]
  711. connect_bd_intf_net -intf_net ps7_0_axi_periph_M00_AXI [get_bd_intf_pins ps7_0_axi_periph/M00_AXI] [get_bd_intf_pins xadc_wiz_0/s_axi_lite]
  712. # Create port connections
  713. connect_bd_net -net processing_system7_0_FCLK_CLK0 [get_bd_pins processing_system7_0/FCLK_CLK0] [get_bd_pins processing_system7_0/M_AXI_GP0_ACLK] [get_bd_pins ps7_0_axi_periph/ACLK] [get_bd_pins ps7_0_axi_periph/M00_ACLK] [get_bd_pins ps7_0_axi_periph/S00_ACLK] [get_bd_pins rst_ps7_0_50M/slowest_sync_clk] [get_bd_pins xadc_wiz_0/s_axi_aclk]
  714. connect_bd_net -net processing_system7_0_FCLK_RESET0_N [get_bd_pins processing_system7_0/FCLK_RESET0_N] [get_bd_pins rst_ps7_0_50M/ext_reset_in]
  715. connect_bd_net -net rst_ps7_0_50M_peripheral_aresetn [get_bd_pins ps7_0_axi_periph/ARESETN] [get_bd_pins ps7_0_axi_periph/M00_ARESETN] [get_bd_pins ps7_0_axi_periph/S00_ARESETN] [get_bd_pins rst_ps7_0_50M/peripheral_aresetn] [get_bd_pins xadc_wiz_0/s_axi_aresetn]
  716. # Create address segments
  717. assign_bd_address -offset 0x43C00000 -range 0x00010000 -target_address_space [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs xadc_wiz_0/s_axi_lite/Reg] -force
  718. # Perform GUI Layout
  719. regenerate_bd_layout -layout_string {
  720. "ActiveEmotionalView":"Default View",
  721. "Default View_ScaleFactor":"0.951852",
  722. "Default View_TopLeft":"-139,30",
  723. "ExpandedHierarchyInLayout":"",
  724. "guistr":"# # String gsaved with Nlview 7.0r6 2020-01-29 bk=1.5227 VDI=41 GEI=36 GUI=JA:10.0 non-TLS
  725. # -string -flagsOSRD
  726. preplace port DDR -pg 1 -lvl 4 -x 1080 -y 60 -defaultsOSRD
  727. preplace port FIXED_IO -pg 1 -lvl 4 -x 1080 -y 80 -defaultsOSRD
  728. preplace port Vaux6 -pg 1 -lvl 0 -x -10 -y 210 -defaultsOSRD
  729. preplace port Vaux7 -pg 1 -lvl 0 -x -10 -y 420 -defaultsOSRD
  730. preplace port Vaux14 -pg 1 -lvl 0 -x -10 -y 470 -defaultsOSRD
  731. preplace port Vaux15 -pg 1 -lvl 0 -x -10 -y 490 -defaultsOSRD
  732. preplace inst processing_system7_0 -pg 1 -lvl 1 -x 230 -y 100 -defaultsOSRD
  733. preplace inst xadc_wiz_0 -pg 1 -lvl 3 -x 930 -y 410 -defaultsOSRD
  734. preplace inst ps7_0_axi_periph -pg 1 -lvl 2 -x 610 -y 340 -defaultsOSRD
  735. preplace inst rst_ps7_0_50M -pg 1 -lvl 1 -x 230 -y 320 -defaultsOSRD
  736. preplace netloc processing_system7_0_FCLK_CLK0 1 0 3 20 430 440 480 790
  737. preplace netloc processing_system7_0_FCLK_RESET0_N 1 0 2 30 420 430
  738. preplace netloc rst_ps7_0_50M_peripheral_aresetn 1 1 2 450 500 800J
  739. preplace netloc processing_system7_0_DDR 1 1 3 NJ 60 NJ 60 NJ
  740. preplace netloc processing_system7_0_FIXED_IO 1 1 3 NJ 80 NJ 80 NJ
  741. preplace netloc processing_system7_0_M_AXI_GP0 1 1 1 450 100n
  742. preplace netloc ps7_0_axi_periph_M00_AXI 1 2 1 N 340
  743. preplace netloc Vaux6_1 1 0 3 NJ 210 NJ 210 760J
  744. preplace netloc Vaux7_1 1 0 3 10J 460 NJ 460 760J
  745. preplace netloc Vaux14_1 1 0 3 NJ 470 NJ 470 770J
  746. preplace netloc Vaux15_1 1 0 3 NJ 490 NJ 490 780J
  747. levelinfo -pg 1 -10 230 610 930 1080
  748. pagesize -pg 1 -db -bbox -sgen -110 0 1200 540
  749. "
  750. }
  751. # Restore current instance
  752. current_bd_instance $oldCurInst
  753. validate_bd_design
  754. save_bd_design
  755. close_bd_design $design_name
  756. }
  757. cr_bd_design_1 ""
    INFO: [BD::TCL 103-2010] Currently there is no design <design_1> in project, so creating one...
    Wrote : <C:\AimaginProjects\Z7000\adc_demo\hardware_design\vivado_tcl\adc_demo\adc_demo.srcs\sources_1\bd\design_1\design_1.bd>
    create_bd_design: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 1138.055 ; gain = 0.000
    INFO: [BD::TCL 103-2011] Checking if the following IPs exist in the project's IP catalog:
    xilinx.com:ip:processing_system7:5.5 xilinx.com:ip:proc_sys_reset:5.0 xilinx.com:ip:xadc_wiz:3.3 .
    WARNING: [IP_Flow 19-3331] Invalid parameters found in dependency constraints of 'ADDRBLOCK_RANGE.S_AXI_ACP.ACP_QSPI_LINEAR'
    WARNING: [IP_Flow 19-3331] Invalid parameters found in dependency constraints of 'ADDRBLOCK_RANGE.S_AXI_ACP.ACP_QSPI_LINEAR'
    WARNING: [IP_Flow 19-3553] Error found in parameter dependencies in XGUI files.
    ERROR: [IP_Flow 19-3428] Failed to create Customization object design_1_processing_system7_0_0
    CRITICAL WARNING: [IP_Flow 19-5622] Failed to create IP instance 'design_1_processing_system7_0_0'. Failed to customize IP instance 'design_1_processing_system7_0_0'. Failed to load customization data
    ERROR: [BD 41-1712] Create IP failed with errors
    ERROR: [BD 5-7] Error: running create_bd_cell -vlnv xilinx.com:ip:processing_system7:5.5 -type ip -name processing_system7_0 .
    ERROR: [Common 17-39] 'create_bd_cell' failed due to earlier errors.

    while executing
    "create_bd_cell -type ip -vlnv xilinx.com:ip:processing_system7:5.5 processing_system7_0 "
    (procedure "cr_bd_design_1" line 85)
    invoked from within
    "cr_bd_design_1 """
    (file "zybo7z20_adc_demo.tcl" line 885)
    update_compile_order -fileset sources_1

Any suggestions about what went wrong?
Regards,
johan.

RE: Errors when generating .xsa file (adc_demo project) - Added by Shawn Sebastian Pulle (ฌอน) about 1 year ago

Hi Johan,

It looks like the tcl script is failing when it is trying to add an IP core to the design.
Two of the probable causes for this would be,
1) Something is wrong with the installation (files not installed/ not in the expect location etc.)
2) certain settings in the operating system is causing this issue

First, please try to create a design in vivado manually, without using the tcl.
If this produces errors (errors will pop up on the tcl window when adding the ip block etc.), then this confirms above two reasons as the most probable causes.
At this stage it is a problem with vivado itself not the tcl file. Best approach here would be to seek help and information from xilinx support. https://support.xilinx.com/s/?language=en_US

From my brief research on xilinx forums, there are 2 things you can try. Please note these are suggestions that you may try at your own risk. As mentioned before, best step would be to ask xilinx support .
1) open the run window (Ctrl+R) and run "intl.cpl". (This is a solution I found on the xilinx forums. https://support.xilinx.com/s/question/0D52E00006hpkZlSAI/re-fails-to-load-include-zynq7-processing-system-ip-in-block-design?language=en_US)
In the window that pops up select "Change system locale"

Deselect Beta tick box and make sure the system locale is set to English (United States)

This has fixed this issue for some users.

2) Alternatively you can try reinstalling vivado (if you suspect there is a chance some options were not selected during installation and might be causing this issue)

If you are uncomfortable making changes to the operating system or need an explanation as to what exactly is the cause of this issue, it would be best to approach xilinx with this inquiry. If you do decide to do that, please keep us informed of your findings as well.

Best Regards,
Shawn

RE: Errors when generating .xsa file (adc_demo project) - Added by Johan Henning about 1 year ago

Hello Shawn,

I tried generating a project from scratch -> no success
Then I uninstallen and reinstalled Xilinx and added the boards again (version 1.0, not version 1.1)
Same problem occurred
Then I tried the work-around that you pointed me to (turning off the Beta checkbox was the only thing I needed to do)
Then it worked. GREAT!!! Thanks for helping me to find the right direction.

A few notes/questions:
1. running the .tcl script does not generate the .xsa file, I had to do a final step (File -> Export -> Export Hardware -> ...). Is that normal? Then is shall be in the readme.txt file.
2. there are still some warnings in the Tcl Console, is that normal? Do you see them also? I will list them below.
3. maybe the combination Windows 11 and Xilinx 2020 is not optimal. At a certain point in time I think it is better to switch to the latest version of Xilinx and the board files or the version just befor the latest. What is your opinion?

Here are the warnings in the TCL console:
WARNING: [Vivado 12-818] No files matched 'xdc/Zybo-Z7-Master.xdc'
WARNING: [Vivado 12-818] No files matched 'xdc/Zybo-Z7-Master.xdc'
CRITICAL WARNING: [PSU-1] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 has negative value -0.050 . PS DDR interfaces might fail when entering negative DQS skew values.
CRITICAL WARNING: [PSU-2] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 has negative value -0.044 . PS DDR interfaces might fail when entering negative DQS skew values.
CRITICAL WARNING: [PSU-3] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 has negative value -0.035 . PS DDR interfaces might fail when entering negative DQS skew values.
CRITICAL WARNING: [PSU-4] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 has negative value -0.100 . PS DDR interfaces might fail when entering negative DQS skew values.
CRITICAL WARNING: [PSU-1] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 has negative value -0.050 . PS DDR interfaces might fail when entering negative DQS skew values.
CRITICAL WARNING: [PSU-2] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 has negative value -0.044 . PS DDR interfaces might fail when entering negative DQS skew values.
CRITICAL WARNING: [PSU-3] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 has negative value -0.035 . PS DDR interfaces might fail when entering negative DQS skew values.
CRITICAL WARNING: [PSU-4] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 has negative value -0.100 . PS DDR interfaces might fail when entering negative DQS skew values.
CRITICAL WARNING: [PSU-1] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 has negative value -0.050 . PS DDR interfaces might fail when entering negative DQS skew values.
CRITICAL WARNING: [PSU-2] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 has negative value -0.044 . PS DDR interfaces might fail when entering negative DQS skew values.
CRITICAL WARNING: [PSU-3] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 has negative value -0.035 . PS DDR interfaces might fail when entering negative DQS skew values.
CRITICAL WARNING: [PSU-4] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 has negative value -0.100 . PS DDR interfaces might fail when entering negative DQS skew values.
WARNING: [BD 41-927] Following properties on pin /xadc_wiz_0/s_axi_aclk have been updated from connected ip, but BD cell '/xadc_wiz_0' does not accept parameter changes, so they may not be synchronized with cell properties:
FREQ_HZ = 50000000
Please resolve any mismatches by directly setting properties on BD cell </xadc_wiz_0> to completely resolve these warnings.
WARNING: [IP_Flow 19-5611] Unable to find an associated reset port for the interface 'M_AXI_GP0'. A default connection has been created.
WARNING: [IP_Flow 19-4994] Overwriting existing constraint file 'c:/AimaginProjects/Z7000/adc_demo/hardware_design/vivado_tcl/adc_demo/adc_demo.gen/sources_1/bd/design_1/ip/design_1_auto_pc_0/design_1_auto_pc_0_ooc.xdc'
WARNING: [Project 1-645] Board images not set in Hardware Platform.

Regards,
johan.

RE: Errors when generating .xsa file (adc_demo project) - Added by Shawn Sebastian Pulle (ฌอน) about 1 year ago

Hi Johan,

Thank you for the feedback.

1. It seems the final step of generating the xsa file is not mentioned in the readme file. We will include that in future releases.
2. Yes. We also see these warnings. The warning related to the xdc file should not normally be there. We will look into the cause of that warning.
The critical warnings are related to vivado. You can get further info here (https://forum.digilent.com/topic/21356-getting-warnings-while-creating-vivado-project-with-zynq-boards/)
3. Yes. We will update the version of vivado/xilinx to a stable up to date version at a certain point in time.

If you look at the link I shared earlier ( https://support.xilinx.com/s/question/0D52E00006hpkZlSAI/re-fails-to-load-include-zynq7-processing-system-ip-in-block-design?language=en_US) that user is using windows 10 (released in 2015) with vivado 2018.
Considering after 2 years (vivado 2020) the error is still present, chances are very small that updating vivado would fix the issue you were facing.

Best Regards,
Shawn

RE: Errors when generating .xsa file (adc_demo project) - Added by martin van beek about 1 year ago

Hi Johan,
You must put the TCL commands in the TCL console at the bottom of the screen, don't use the "Tools | Run TCL script..." command from the top menu bar.
When using the console at the bottom, everything is running without errors.
Best regards,

Martin.

    (1-7/7)